1module t_lint_pragma_protected_err;
2
3// This part should see some failures
4
5`pragma protect begin_protected
6`pragma protect version="xx"
7// should fail because value should be quoted
8`pragma protect encrypt_agent=123
9// should fail because no value given at all
10`pragma protect encrypt_agent_info
11`pragma protect data_method="AES128-CBC"
12`pragma protect key_keyowner="BIG3#1"
13`pragma protect key_keyname="AAAAAA"
14`pragma protect key_method="RSA"
15
16// expect error in key_block below, 64 bytes but expecting  65
17// also expect "multiple `pragma encoding sections` error because number of
18// bytes does not go down to 0 in the end of the section below due to the 64->65 change
19`pragma protect encoding = (enctype = "BASE64", line_length = 76, bytes = 65)
20`pragma protect key_block
21ICAgICAgICAgICAgICAgICAgIEdOVSBMRVNTRVIgR0VORVJBTCBQVUJMSUMgTElDRU5TRQogICAg
22KSAyMDA3IE==
23
24`pragma protect key_keyowner="BIG3#2"
25`pragma protect key_keyname="BBBBBB"
26`pragma protect key_method="RSA"
27`pragma protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
28`pragma protect key_block
29IEV2ZXJ5b25lIGlzIHBlcm1pdHRlZCB0byBjb3B5IGFuZCBkaXN0cmlidXRlIHZlcmJhdGltIGNv
30cGllcwogb2YgdGhpcyBsaWNlbnNlIGRvY3VtZW50LCBidXQgY2hhbmdpbmcgaXQgaXMgbm90IGFs
31bG93ZWQuCgoKICBUaGl=
32
33`pragma protect key_keyowner="BIG3#3"
34`pragma protect key_keyname="CCCCCCCC"
35`pragma protect key_method="RSA"
36`pragma protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
37`pragma protect key_block
38TGljZW5zZSBpbmNvcnBvcmF0ZXMKdGhlIHRlcm1zIGFuZCBjb25kaXRpb25zIG9mIHZlcnNpb24g
39MyBvZiB0aGUgR05VIEdlbmVyYWwgUHVibGljCkxpY2Vuc2UsIHN1cHBsZW1lbnRlZCBieSB0aGUg
40YWRkaXRpb25hbCBwZXJ=
41
42`pragma protect encoding = (enctype = "BASE64", line_length = 76, bytes = 295)
43`pragma protect data_block
44aW5pdGlvbnMuCgogIEFzIHVzZWQgaGVyZWluLCAidGhpcyBMaWNlbnNlIiByZWZlcnMgdG8gdmVy
45c2lvbiAzIG9mIHRoZSBHTlUgTGVzc2VyCkdlbmVyYWwgUHVibGljIExpY2Vuc2UsIGFuZCB0aGUg
46IkdOVSBHUEwiIHJlZmVycyB0byB2ZXJzaW9uIDMgb2YgdGhlIEdOVQpHZW5lcmFsIFB1YmxpYyBM
47aWNlbnNlLgoKICAiVGhlIExpYnJhcnkiIHJlZmVycyB0byBhIGNvdmVyZWQgd29yayBnb3Zlcm5l
48ZCBieSB0aGlzIExpY2Vuc2UsCm90aGVyIHRoYW4gYW4gQXBwbGljYXRpb24gb3IgYSBDb21iaW5l
49ZCBXb3JrIGFzIG==
50
51
52`pragma protect end_protected
53
54// Should trigger unknown pragma warning, although in principle unknown pragmas should be safely ignored.
55`pragma XXXXX
56
57// Should trigger missing pragma warning
58`pragma
59
60endmodule
61