1%Warning-WIDTHCONCAT: t/t_param_concat.v:19:15: Unsized numbers/parameters not allowed in concatenations.
2                                              : ... In instance t
3   19 |          if ({UNSIZED,UNSIZED+1} != {32'd10, 32'd11}) $stop;
4      |               ^~~~~~~
5                      ... For warning description see https://verilator.org/warn/WIDTHCONCAT?v=latest
6                      ... Use "/* verilator lint_off WIDTHCONCAT */" and lint_on around source to disable this message.
7%Warning-WIDTHCONCAT: t/t_param_concat.v:19:22: Unsized numbers/parameters not allowed in replications.
8                                              : ... In instance t
9   19 |          if ({UNSIZED,UNSIZED+1} != {32'd10, 32'd11}) $stop;
10      |                      ^
11%Warning-WIDTHCONCAT: t/t_param_concat.v:20:17: Unsized numbers/parameters not allowed in replications.
12                                              : ... In instance t
13   20 |          if ({2{UNSIZED}} != {32'd10, 32'd10}) $stop;
14      |                 ^~~~~~~
15%Error: Exiting due to
16