1%Warning-SELRANGE: t/t_param_sel_range.v:43:35: Selection index out of range: 7:7 outside 4:0
2                                              : ... In instance t.u2
3   43 |                  r_rst[i] <= r_rst[i-1];
4      |                                   ^
5                   ... For warning description see https://verilator.org/warn/SELRANGE?v=latest
6                   ... Use "/* verilator lint_off SELRANGE */" and lint_on around source to disable this message.
7%Error: Exiting due to
8