1%Error-UNSUPPORTED: t/t_randomize_method_types_unsup.v:12:13: Unsupported: random member variables with type 'int[string]'
2                                                            : ... In instance t
3   12 |    rand int assocarr[string];
4      |             ^~~~~~~~
5                    ... For error description see https://verilator.org/warn/UNSUPPORTED?v=latest
6%Error-UNSUPPORTED: t/t_randomize_method_types_unsup.v:13:13: Unsupported: random member variables with type 'int[]'
7                                                            : ... In instance t
8   13 |    rand int dynarr[];
9      |             ^~~~~~
10%Error-UNSUPPORTED: t/t_randomize_method_types_unsup.v:14:13: Unsupported: random member variables with type 'int$[0:4]'
11                                                            : ... In instance t
12   14 |    rand int unpackarr[5];
13      |             ^~~~~~~~~
14%Error-UNSUPPORTED: t/t_randomize_method_types_unsup.v:15:15: Unsupported: random member variables with type '__024unit::Union'
15                                                            : ... In instance t
16   15 |    rand Union uni;
17      |               ^~~
18%Error: Exiting due to
19