1[0] %Error: t_runflag_errorlimit.v:9: Assertion failed in top.t: One
2-Info: t/t_runflag_errorlimit.v:9: Verilog $stop, ignored due to +verilator+error+limit
3[0] %Error: t_runflag_errorlimit.v:10: Assertion failed in top.t: Two
4-Info: t/t_runflag_errorlimit.v:10: Verilog $stop, ignored due to +verilator+error+limit
5[0] %Error: t_runflag_errorlimit.v:11: Assertion failed in top.t: Three
6%Error: t/t_runflag_errorlimit.v:11: Verilog $stop
7Aborting...
8