1#!/usr/bin/env perl
2if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; }
3# DESCRIPTION: Verilator: Verilog Test driver/expect definition
4#
5# Copyright 2003 by Wilson Snyder. This program is free software; you
6# can redistribute it and/or modify it under the terms of either the GNU
7# Lesser General Public License Version 3 or the Perl Artistic License
8# Version 2.0.
9# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0
10
11scenarios(vlt => 1);
12
13top_filename("t/t_time_sc.v");
14
15$Self->{sc_time_resolution} = 'SC_NS';
16
17compile(
18    verilator_flags2 => ['-sc', '-timescale 1ps/1ps',  # Mismatch w/sc_time_resolution
19                         '+define+TEST_EXPECT=2us'],
20    );
21
22execute(
23    fails => 1,
24    expect_filename => $Self->{golden_filename},
25    );
26
27ok(1);
28
291;
30