1#!/usr/bin/env perl
2if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; }
3# DESCRIPTION: Verilator: Verilog Test driver/expect definition
4#
5# Copyright 2003-2009 by Wilson Snyder. This program is free software; you
6# can redistribute it and/or modify it under the terms of either the GNU
7# Lesser General Public License Version 3 or the Perl Artistic License
8# Version 2.0.
9# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0
10
11scenarios(simulator => 1);
12
13if (!$Self->have_sc) {
14    skip("No SystemC installed");
15}
16else {
17    top_filename("t/t_trace_complex.v");
18    golden_filename("t/t_trace_complex_fst_sc.out");
19
20    compile(
21        verilator_flags2 => ['--sc --trace-fst --trace-threads 2'],
22        );
23
24    execute(
25        check_finished => 1,
26        );
27
28    fst_identical($Self->trace_filename, $Self->{golden_filename});
29}
30
31ok(1);
321;
33