1#!/usr/bin/env perl
2if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; }
3# DESCRIPTION: Verilator: Verilog Test driver/expect definition
4#
5# Copyright 2003 by Wilson Snyder. This program is free software; you
6# can redistribute it and/or modify it under the terms of either the GNU
7# Lesser General Public License Version 3 or the Perl Artistic License
8# Version 2.0.
9# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0
10
11scenarios(simulator => 1);
12
13if (!$Self->have_sc) {
14    skip("No SystemC installed");
15}
16else {
17    top_filename("t/t_trace_packed_struct.v");
18
19    compile(
20        v_flags2 => ["--sc --trace-fst"]
21        );
22
23    execute(
24        check_finished => 1,
25        );
26
27    fst_identical($Self->trace_filename, $Self->{golden_filename});
28}
29
30ok(1);
311;
32