1#!/usr/bin/env perl
2if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; }
3# DESCRIPTION: Verilator: Verilog Test driver/expect definition
4#
5# Copyright 2003-2020 by Wilson Snyder. This program is free software; you
6# can redistribute it and/or modify it under the terms of either the GNU
7# Lesser General Public License Version 3 or the Perl Artistic License
8# Version 2.0.
9# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0
10
11scenarios(simulator => 1);
12
13if (!$Self->have_sc) {
14    skip("No SystemC installed");
15}
16else {
17    top_filename("t_trace_two_a.v");
18
19    compile(
20        make_main => 0,
21        verilator_make_gmake => 0,
22        top_filename => 't_trace_two_b.v',
23        VM_PREFIX => 'Vt_trace_two_b',
24        verilator_flags2 => ['-sc -trace'],
25        );
26
27    run(
28        logfile => "$Self->{obj_dir}/make_first_ALL.log",
29        cmd => ["make", "-C", "$Self->{obj_dir}", "-f", "Vt_trace_two_b.mk", "Vt_trace_two_b__ALL.cpp"]
30        );
31
32    compile(
33        make_main => 0,
34        top_filename => 't_trace_two_a.v',
35        verilator_flags2 => ['-sc', '-exe', '-trace',
36                             "$Self->{t_dir}/t_trace_two_sc.cpp"],
37        v_flags2 => ['+define+TEST_DUMPPORTS'],
38        );
39
40    execute(
41        check_finished => 1,
42        );
43
44    if ($Self->{vlt_all}) {
45        file_grep("$Self->{obj_dir}/simx.vcd", qr/\$enddefinitions/x);
46        vcd_identical("$Self->{obj_dir}/simx.vcd", $Self->{golden_filename});
47    }
48}
49
50ok(1);
511;
52