1#!/usr/bin/env perl
2if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; }
3# DESCRIPTION: Verilator: Verilog Test driver/expect definition
4#
5# Copyright 2007 by Wilson Snyder. This program is free software; you
6# can redistribute it and/or modify it under the terms of either the GNU
7# Lesser General Public License Version 3 or the Perl Artistic License
8# Version 2.0.
9# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0
10
11scenarios(simulator => 1);
12
13top_filename("t/t_unopt_converge.v");
14
15compile(
16    v_flags2 => ['+define+ALLOW_UNOPT --output-split 0'],
17    );
18
19execute(
20    fails => 1,
21    expect_filename => $Self->{golden_filename},
22    ) if $Self->{vlt_all};
23
24ok(1);
251;
26