1%Warning-UNOPT: t/t_unopt_converge.v:19:11: Signal unoptimizable: Feedback to public clock or circular logic: 'x'
2   19 |    output x;
3      |           ^
4                ... For warning description see https://verilator.org/warn/UNOPT?v=latest
5                ... Use "/* verilator lint_off UNOPT */" and lint_on around source to disable this message.
6                t/t_unopt_converge.v:19:11:      Example path: x
7                t/t_unopt_converge.v:22:4:      Example path: ALWAYS
8                t/t_unopt_converge.v:19:11:      Example path: x
9%Error: Exiting due to
10