1#!/usr/bin/env perl
2if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; }
3# DESCRIPTION: Verilator: Verilog Test driver/expect definition
4#
5# Copyright 2010 by Wilson Snyder. This program is free software; you
6# can redistribute it and/or modify it under the terms of either the GNU
7# Lesser General Public License Version 3 or the Perl Artistic License
8# Version 2.0.
9# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0
10
11scenarios(simulator => 1);
12
13skip("Known compiler limitation")
14    if $Self->cxx_version =~ /\(GCC\) 4.4/;
15
16VM_PREFIX("Vt_vpi_module");
17top_filename("t/t_vpi_module.v");
18pli_filename("t_vpi_module.cpp");
19
20compile(
21    make_top_shell => 0,
22    make_main => 0,
23    make_pli => 1,
24    iv_flags2 => ["-g2005-sv"],
25    verilator_flags2 => ["--exe --vpi --no-l2name $Self->{t_dir}/t_vpi_module.cpp"],
26    );
27
28execute(
29    use_libvpi => 1,
30    check_finished => 1
31    );
32
33ok(1);
341;
35