1// DESCRIPTION: Verilator: Verilog Test module
2//
3// This file ONLY is placed under The Creative Commons Public Domain, for
4// any use, without warranty, 2020 by Wilson Snyder.
5// SPDX-License-Identifier: CC0-1.0
6
7import "DPI-C" context function void dpii_check();
8
9module t (/*AUTOARG*/);
10   initial begin
11      dpii_check();
12      $write("*-* All Finished *-*\n");
13      $finish;
14   end
15endmodule
16