1#!/usr/bin/env perl
2if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; }
3# DESCRIPTION: Verilator: Verilog Test driver/expect definition
4#
5# Copyright 2012 by Wilson Snyder. This program is free software; you
6# can redistribute it and/or modify it under the terms of either the GNU
7# Lesser General Public License Version 3 or the Perl Artistic License
8# Version 2.0.
9# SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0
10
11scenarios(vlt => 1);
12
13my $out_filename = "$Self->{obj_dir}/$Self->{name}_waiver_gen.vlt";
14my $waiver_filename = "t/$Self->{name}.vlt";
15
16top_filename("t/t_waiveroutput.v");
17
18compile(
19    v_flags2 => [$waiver_filename, '--waiver-output', $out_filename],
20    );
21
22files_identical("$out_filename", $Self->{golden_filename});
23
24ok(1);
251;
26