1`default_nettype none
2module top ( output wire [6:0] HEX0, HEX1, HEX2, HEX3, HEX4, HEX5, HEX6, HEX7,
3             input  wire [15:0] SW );
4
5
6    sevenseg UUD0 (.HEX0(HEX0), .SW(4'h7));
7    sevenseg UUD1 (.HEX0(HEX1), .SW(4'h1));
8    sevenseg UUD2 (.HEX0(HEX2), .SW(4'h0));
9    sevenseg UUD3 (.HEX0(HEX3), .SW(4'h2));
10    sevenseg UUD4 (.HEX0(HEX4), .SW(SW[3:0]));
11    sevenseg UUD5 (.HEX0(HEX5), .SW(SW[7:4]));
12    sevenseg UUD6 (.HEX0(HEX6), .SW(SW[11:8]));
13    sevenseg UUD7 (.HEX0(HEX7), .SW(SW[15:12]));
14
15endmodule
16