1read_verilog <<EOT
2module top(input i, output o);
3assign o = i;
4endmodule
5EOT
6design -stash foo
7design -delete foo
8logger -expect error "No saved design 'foo' found!" 1
9design -delete foo
10