1# Check that we spot mismatched brackets
2logger -expect error "Mismatched brackets in macro argument: \[ and }." 1
3read_verilog <<EOT
4`define foo(x=[1,2})
5EOT
6