1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
478
479
480
481
482
483
484
485
486
487
488
489
490
491
492
493
494
495
496
497
498
499
500
501
502
503
504
505
506
507
508
509
510
511
512
513
514
515
516
517
518
519
520
521
522
523
524
525
526
527
528
529
530
531
532
533
534
535
536
537
538
539
540
541
542
543
544
545
546
547
548
549
550
551
552
553
554
555
556
557
558
559
560
561
562
563
564
565
566
567
568
569
570
571
572
573
574
575
576
577
578module lm32_top_full (
579
580    clk_i,
581    rst_i,
582
583
584    interrupt,
585
586
587
588
589
590
591
592
593
594
595    I_DAT_I,
596    I_ACK_I,
597    I_ERR_I,
598    I_RTY_I,
599
600
601
602    D_DAT_I,
603    D_ACK_I,
604    D_ERR_I,
605    D_RTY_I,
606
607
608
609
610
611
612
613
614
615
616
617    I_DAT_O,
618    I_ADR_O,
619    I_CYC_O,
620    I_SEL_O,
621    I_STB_O,
622    I_WE_O,
623    I_CTI_O,
624    I_LOCK_O,
625    I_BTE_O,
626
627
628
629    D_DAT_O,
630    D_ADR_O,
631    D_CYC_O,
632    D_SEL_O,
633    D_STB_O,
634    D_WE_O,
635    D_CTI_O,
636    D_LOCK_O,
637    D_BTE_O
638    );
639
640parameter eba_reset = 32'h00000000;
641parameter sdb_address = 32'h00000000;
642
643
644
645
646input clk_i;
647input rst_i;
648
649
650input [ (32-1):0] interrupt;
651
652
653
654
655
656
657
658
659
660
661input [ (32-1):0] I_DAT_I;
662input I_ACK_I;
663input I_ERR_I;
664input I_RTY_I;
665
666
667
668input [ (32-1):0] D_DAT_I;
669input D_ACK_I;
670input D_ERR_I;
671input D_RTY_I;
672
673
674
675
676
677
678
679
680
681
682
683
684
685
686
687
688
689
690
691output [ (32-1):0] I_DAT_O;
692wire   [ (32-1):0] I_DAT_O;
693output [ (32-1):0] I_ADR_O;
694wire   [ (32-1):0] I_ADR_O;
695output I_CYC_O;
696wire   I_CYC_O;
697output [ (4-1):0] I_SEL_O;
698wire   [ (4-1):0] I_SEL_O;
699output I_STB_O;
700wire   I_STB_O;
701output I_WE_O;
702wire   I_WE_O;
703output [ (3-1):0] I_CTI_O;
704wire   [ (3-1):0] I_CTI_O;
705output I_LOCK_O;
706wire   I_LOCK_O;
707output [ (2-1):0] I_BTE_O;
708wire   [ (2-1):0] I_BTE_O;
709
710
711
712output [ (32-1):0] D_DAT_O;
713wire   [ (32-1):0] D_DAT_O;
714output [ (32-1):0] D_ADR_O;
715wire   [ (32-1):0] D_ADR_O;
716output D_CYC_O;
717wire   D_CYC_O;
718output [ (4-1):0] D_SEL_O;
719wire   [ (4-1):0] D_SEL_O;
720output D_STB_O;
721wire   D_STB_O;
722output D_WE_O;
723wire   D_WE_O;
724output [ (3-1):0] D_CTI_O;
725wire   [ (3-1):0] D_CTI_O;
726output D_LOCK_O;
727wire   D_LOCK_O;
728output [ (2-1):0] D_BTE_O;
729wire   [ (2-1):0] D_BTE_O;
730
731
732
733
734
735
736
737
738
739
740
741
742
743
744
745
746
747
748
749
750
751
752
753
754
755
756
757
758
759
760
761
762
763
764
765
766
767
768
769
770
771
772
773
774
775
776
777
778
779
780
781
782
783
784
785
786
787
788
789
790
791
792
793
794
795function integer clogb2;
796input [31:0] value;
797begin
798   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
799        value = value >> 1;
800end
801endfunction
802
803function integer clogb2_v1;
804input [31:0] value;
805reg   [31:0] i;
806reg   [31:0] temp;
807begin
808   temp = 0;
809   i    = 0;
810   for (i = 0; temp < value; i = i + 1)
811	temp = 1<<i;
812   clogb2_v1 = i-1;
813end
814endfunction
815
816
817
818
819
820
821
822
823lm32_cpu_full
824	#(
825		.eba_reset(eba_reset),
826    .sdb_address(sdb_address)
827	) cpu (
828
829    .clk_i                 (clk_i),
830
831
832
833
834    .rst_i                 (rst_i),
835
836
837
838    .interrupt             (interrupt),
839
840
841
842
843
844
845
846
847
848
849
850
851
852
853
854
855
856
857
858    .I_DAT_I               (I_DAT_I),
859    .I_ACK_I               (I_ACK_I),
860    .I_ERR_I               (I_ERR_I),
861    .I_RTY_I               (I_RTY_I),
862
863
864
865    .D_DAT_I               (D_DAT_I),
866    .D_ACK_I               (D_ACK_I),
867    .D_ERR_I               (D_ERR_I),
868    .D_RTY_I               (D_RTY_I),
869
870
871
872
873
874
875
876
877
878
879
880
881
882
883
884
885
886
887
888
889
890
891
892
893
894
895
896    .I_DAT_O               (I_DAT_O),
897    .I_ADR_O               (I_ADR_O),
898    .I_CYC_O               (I_CYC_O),
899    .I_SEL_O               (I_SEL_O),
900    .I_STB_O               (I_STB_O),
901    .I_WE_O                (I_WE_O),
902    .I_CTI_O               (I_CTI_O),
903    .I_LOCK_O              (I_LOCK_O),
904    .I_BTE_O               (I_BTE_O),
905
906
907
908    .D_DAT_O               (D_DAT_O),
909    .D_ADR_O               (D_ADR_O),
910    .D_CYC_O               (D_CYC_O),
911    .D_SEL_O               (D_SEL_O),
912    .D_STB_O               (D_STB_O),
913    .D_WE_O                (D_WE_O),
914    .D_CTI_O               (D_CTI_O),
915    .D_LOCK_O              (D_LOCK_O),
916    .D_BTE_O               (D_BTE_O)
917    );
918
919
920
921
922
923
924
925
926
927
928
929
930
931
932
933
934
935endmodule
936
937
938
939
940
941
942
943
944
945
946
947
948
949
950
951
952
953
954
955
956
957
958
959
960
961
962
963
964
965
966
967
968
969
970
971
972
973
974
975
976
977
978
979
980
981
982
983
984
985
986
987
988
989
990
991
992
993
994
995
996
997
998
999
1000
1001
1002
1003
1004
1005
1006
1007
1008
1009
1010
1011
1012
1013
1014
1015
1016
1017
1018
1019
1020
1021
1022
1023
1024
1025
1026
1027
1028
1029
1030
1031
1032
1033
1034
1035
1036
1037
1038
1039
1040
1041
1042
1043
1044
1045
1046
1047
1048
1049
1050
1051
1052
1053
1054
1055
1056
1057
1058
1059
1060
1061
1062
1063
1064
1065
1066
1067
1068
1069
1070
1071
1072
1073
1074
1075
1076
1077
1078
1079
1080
1081
1082
1083
1084
1085
1086
1087
1088
1089
1090
1091
1092
1093
1094
1095
1096
1097
1098
1099
1100
1101
1102
1103
1104
1105
1106
1107
1108
1109
1110
1111
1112
1113
1114
1115
1116
1117
1118
1119
1120
1121
1122
1123
1124
1125
1126
1127
1128
1129
1130
1131
1132
1133
1134
1135
1136
1137
1138
1139
1140
1141
1142
1143
1144
1145
1146
1147
1148
1149
1150
1151
1152
1153
1154
1155
1156
1157
1158
1159
1160
1161
1162
1163
1164
1165
1166
1167
1168
1169
1170
1171
1172
1173
1174
1175
1176
1177
1178
1179
1180
1181
1182
1183
1184
1185
1186
1187
1188
1189
1190
1191
1192
1193
1194
1195
1196
1197
1198
1199
1200
1201
1202
1203
1204
1205
1206
1207
1208
1209
1210
1211
1212
1213
1214
1215
1216
1217
1218
1219
1220
1221
1222
1223
1224
1225
1226
1227
1228
1229
1230
1231
1232
1233
1234
1235
1236
1237
1238
1239
1240
1241
1242
1243
1244
1245
1246
1247
1248
1249
1250
1251
1252
1253
1254
1255
1256
1257
1258
1259
1260
1261
1262
1263
1264
1265
1266
1267
1268
1269
1270
1271
1272
1273
1274
1275
1276
1277
1278
1279
1280
1281
1282
1283
1284
1285
1286
1287
1288
1289
1290
1291
1292
1293
1294
1295
1296
1297
1298
1299
1300
1301
1302
1303
1304
1305
1306
1307
1308
1309
1310
1311module lm32_mc_arithmetic_full (
1312
1313    clk_i,
1314    rst_i,
1315    stall_d,
1316    kill_x,
1317
1318
1319    divide_d,
1320    modulus_d,
1321
1322
1323
1324
1325
1326
1327
1328
1329
1330
1331
1332
1333    operand_0_d,
1334    operand_1_d,
1335
1336    result_x,
1337
1338
1339    divide_by_zero_x,
1340
1341
1342    stall_request_x
1343    );
1344
1345
1346
1347
1348
1349input clk_i;
1350input rst_i;
1351input stall_d;
1352input kill_x;
1353
1354
1355input divide_d;
1356input modulus_d;
1357
1358
1359
1360
1361
1362
1363
1364
1365
1366
1367
1368
1369input [ (32-1):0] operand_0_d;
1370input [ (32-1):0] operand_1_d;
1371
1372
1373
1374
1375
1376output [ (32-1):0] result_x;
1377reg    [ (32-1):0] result_x;
1378
1379
1380output divide_by_zero_x;
1381reg    divide_by_zero_x;
1382
1383
1384output stall_request_x;
1385wire   stall_request_x;
1386
1387
1388
1389
1390
1391reg [ (32-1):0] p;
1392reg [ (32-1):0] a;
1393reg [ (32-1):0] b;
1394
1395
1396wire [32:0] t;
1397
1398
1399
1400reg [ 2:0] state;
1401reg [5:0] cycles;
1402
1403
1404
1405
1406
1407
1408
1409
1410
1411
1412
1413
1414assign stall_request_x = state !=  3'b000;
1415
1416
1417
1418
1419assign t = {p[ 32-2:0], a[ 32-1]} - b;
1420
1421
1422
1423
1424
1425
1426
1427
1428
1429
1430
1431
1432
1433
1434always @(posedge clk_i  )
1435begin
1436    if (rst_i ==  1'b1)
1437    begin
1438        cycles <= {6{1'b0}};
1439        p <= { 32{1'b0}};
1440        a <= { 32{1'b0}};
1441        b <= { 32{1'b0}};
1442
1443
1444
1445
1446
1447
1448        divide_by_zero_x <=  1'b0;
1449
1450
1451        result_x <= { 32{1'b0}};
1452        state <=  3'b000;
1453    end
1454    else
1455    begin
1456
1457
1458        divide_by_zero_x <=  1'b0;
1459
1460
1461        case (state)
1462         3'b000:
1463        begin
1464            if (stall_d ==  1'b0)
1465            begin
1466                cycles <=  32;
1467                p <= 32'b0;
1468                a <= operand_0_d;
1469                b <= operand_1_d;
1470
1471
1472                if (divide_d ==  1'b1)
1473                    state <=  3'b011 ;
1474                if (modulus_d ==  1'b1)
1475                    state <=  3'b010   ;
1476
1477
1478
1479
1480
1481
1482
1483
1484
1485
1486
1487
1488
1489
1490
1491
1492
1493
1494
1495
1496
1497
1498
1499
1500
1501
1502            end
1503        end
1504
1505
1506         3'b011 :
1507        begin
1508            if (t[32] == 1'b0)
1509            begin
1510                p <= t[31:0];
1511                a <= {a[ 32-2:0], 1'b1};
1512            end
1513            else
1514            begin
1515                p <= {p[ 32-2:0], a[ 32-1]};
1516                a <= {a[ 32-2:0], 1'b0};
1517            end
1518            result_x <= a;
1519            if ((cycles ==  32'd0) || (kill_x ==  1'b1))
1520            begin
1521
1522                divide_by_zero_x <= b == { 32{1'b0}};
1523                state <=  3'b000;
1524            end
1525            cycles <= cycles - 1'b1;
1526        end
1527         3'b010   :
1528        begin
1529            if (t[32] == 1'b0)
1530            begin
1531                p <= t[31:0];
1532                a <= {a[ 32-2:0], 1'b1};
1533            end
1534            else
1535            begin
1536                p <= {p[ 32-2:0], a[ 32-1]};
1537                a <= {a[ 32-2:0], 1'b0};
1538            end
1539            result_x <= p;
1540            if ((cycles ==  32'd0) || (kill_x ==  1'b1))
1541            begin
1542
1543                divide_by_zero_x <= b == { 32{1'b0}};
1544                state <=  3'b000;
1545            end
1546            cycles <= cycles - 1'b1;
1547        end
1548
1549
1550
1551
1552
1553
1554
1555
1556
1557
1558
1559
1560
1561
1562
1563
1564
1565
1566
1567
1568
1569
1570
1571
1572
1573
1574
1575
1576
1577
1578
1579
1580
1581
1582
1583        endcase
1584    end
1585end
1586
1587endmodule
1588
1589
1590
1591
1592
1593
1594
1595
1596
1597
1598
1599
1600
1601
1602
1603
1604
1605
1606
1607
1608
1609
1610
1611
1612
1613
1614
1615
1616
1617
1618
1619
1620
1621
1622
1623
1624
1625
1626
1627
1628
1629
1630
1631
1632
1633
1634
1635
1636
1637
1638
1639
1640
1641
1642
1643
1644
1645
1646
1647
1648
1649
1650
1651
1652
1653
1654
1655
1656
1657
1658
1659
1660
1661
1662
1663
1664
1665
1666
1667
1668
1669
1670
1671
1672
1673
1674
1675
1676
1677
1678
1679
1680
1681
1682
1683
1684
1685
1686
1687
1688
1689
1690
1691
1692
1693
1694
1695
1696
1697
1698
1699
1700
1701
1702
1703
1704
1705
1706
1707
1708
1709
1710
1711
1712
1713
1714
1715
1716
1717
1718
1719
1720
1721
1722
1723
1724
1725
1726
1727
1728
1729
1730
1731
1732
1733
1734
1735
1736
1737
1738
1739
1740
1741
1742
1743
1744
1745
1746
1747
1748
1749
1750
1751
1752
1753
1754
1755
1756
1757
1758
1759
1760
1761
1762
1763
1764
1765
1766
1767
1768
1769
1770
1771
1772
1773
1774
1775
1776
1777
1778
1779
1780
1781
1782
1783
1784
1785
1786
1787
1788
1789
1790
1791
1792
1793
1794
1795
1796
1797
1798
1799
1800
1801
1802
1803
1804
1805
1806
1807
1808
1809
1810
1811
1812
1813
1814
1815
1816
1817
1818
1819
1820
1821
1822
1823
1824
1825
1826
1827
1828
1829
1830
1831
1832
1833
1834
1835
1836
1837
1838
1839
1840
1841
1842
1843
1844
1845
1846
1847
1848
1849
1850
1851
1852
1853
1854
1855
1856
1857
1858
1859
1860
1861
1862
1863
1864
1865
1866
1867
1868
1869
1870
1871
1872
1873
1874
1875
1876
1877
1878
1879
1880
1881
1882
1883
1884
1885
1886
1887
1888
1889
1890
1891
1892
1893
1894
1895
1896
1897
1898
1899
1900
1901
1902
1903
1904
1905
1906
1907
1908
1909
1910
1911
1912
1913
1914
1915
1916
1917
1918
1919
1920
1921
1922
1923
1924
1925
1926
1927
1928
1929
1930
1931
1932
1933
1934
1935
1936
1937
1938
1939
1940
1941
1942
1943
1944
1945
1946
1947
1948
1949
1950
1951
1952
1953
1954
1955
1956
1957
1958
1959
1960
1961
1962
1963
1964
1965
1966
1967
1968
1969
1970
1971
1972
1973
1974
1975
1976
1977
1978
1979
1980
1981
1982
1983
1984module lm32_cpu_full (
1985
1986    clk_i,
1987
1988
1989
1990
1991    rst_i,
1992
1993
1994
1995
1996
1997
1998
1999
2000
2001
2002
2003
2004
2005
2006
2007
2008
2009    interrupt,
2010
2011
2012
2013
2014
2015
2016
2017
2018
2019
2020
2021
2022
2023
2024
2025
2026
2027
2028
2029    I_DAT_I,
2030    I_ACK_I,
2031    I_ERR_I,
2032    I_RTY_I,
2033
2034
2035
2036    D_DAT_I,
2037    D_ACK_I,
2038    D_ERR_I,
2039    D_RTY_I,
2040
2041
2042
2043
2044
2045
2046
2047
2048
2049
2050
2051
2052
2053
2054
2055
2056
2057
2058
2059
2060
2061
2062
2063
2064
2065
2066
2067    I_DAT_O,
2068    I_ADR_O,
2069    I_CYC_O,
2070    I_SEL_O,
2071    I_STB_O,
2072    I_WE_O,
2073    I_CTI_O,
2074    I_LOCK_O,
2075    I_BTE_O,
2076
2077
2078
2079
2080
2081
2082
2083
2084
2085
2086
2087
2088
2089
2090
2091
2092
2093    D_DAT_O,
2094    D_ADR_O,
2095    D_CYC_O,
2096    D_SEL_O,
2097    D_STB_O,
2098    D_WE_O,
2099    D_CTI_O,
2100    D_LOCK_O,
2101    D_BTE_O
2102
2103
2104    );
2105
2106
2107
2108
2109
2110parameter eba_reset =  32'h00000000;
2111
2112
2113
2114
2115parameter sdb_address =   32'h00000000;
2116
2117
2118
2119parameter icache_associativity =  1;
2120parameter icache_sets =  256;
2121parameter icache_bytes_per_line =  16;
2122parameter icache_base_address =  32'h0;
2123parameter icache_limit =  32'h7fffffff;
2124
2125
2126
2127
2128
2129
2130
2131
2132
2133
2134
2135parameter dcache_associativity =  1;
2136parameter dcache_sets =  256;
2137parameter dcache_bytes_per_line =  16;
2138parameter dcache_base_address =  32'h0;
2139parameter dcache_limit =  32'h7fffffff;
2140
2141
2142
2143
2144
2145
2146
2147
2148
2149
2150
2151
2152
2153parameter watchpoints = 0;
2154
2155
2156
2157
2158
2159
2160parameter breakpoints = 0;
2161
2162
2163
2164
2165
2166parameter interrupts =  32;
2167
2168
2169
2170
2171
2172
2173
2174
2175
2176input clk_i;
2177
2178
2179
2180
2181input rst_i;
2182
2183
2184
2185input [ (32-1):0] interrupt;
2186
2187
2188
2189
2190
2191
2192
2193
2194
2195
2196
2197
2198
2199
2200
2201
2202
2203
2204
2205input [ (32-1):0] I_DAT_I;
2206input I_ACK_I;
2207input I_ERR_I;
2208input I_RTY_I;
2209
2210
2211
2212input [ (32-1):0] D_DAT_I;
2213input D_ACK_I;
2214input D_ERR_I;
2215input D_RTY_I;
2216
2217
2218
2219
2220
2221
2222
2223
2224
2225
2226
2227
2228
2229
2230
2231
2232
2233
2234
2235
2236
2237
2238
2239
2240
2241
2242
2243
2244
2245
2246
2247
2248
2249
2250
2251
2252
2253
2254
2255
2256
2257
2258
2259
2260
2261
2262
2263
2264
2265
2266
2267
2268output [ (32-1):0] I_DAT_O;
2269wire   [ (32-1):0] I_DAT_O;
2270output [ (32-1):0] I_ADR_O;
2271wire   [ (32-1):0] I_ADR_O;
2272output I_CYC_O;
2273wire   I_CYC_O;
2274output [ (4-1):0] I_SEL_O;
2275wire   [ (4-1):0] I_SEL_O;
2276output I_STB_O;
2277wire   I_STB_O;
2278output I_WE_O;
2279wire   I_WE_O;
2280output [ (3-1):0] I_CTI_O;
2281wire   [ (3-1):0] I_CTI_O;
2282output I_LOCK_O;
2283wire   I_LOCK_O;
2284output [ (2-1):0] I_BTE_O;
2285wire   [ (2-1):0] I_BTE_O;
2286
2287
2288
2289output [ (32-1):0] D_DAT_O;
2290wire   [ (32-1):0] D_DAT_O;
2291output [ (32-1):0] D_ADR_O;
2292wire   [ (32-1):0] D_ADR_O;
2293output D_CYC_O;
2294wire   D_CYC_O;
2295output [ (4-1):0] D_SEL_O;
2296wire   [ (4-1):0] D_SEL_O;
2297output D_STB_O;
2298wire   D_STB_O;
2299output D_WE_O;
2300wire   D_WE_O;
2301output [ (3-1):0] D_CTI_O;
2302wire   [ (3-1):0] D_CTI_O;
2303output D_LOCK_O;
2304wire   D_LOCK_O;
2305output [ (2-1):0] D_BTE_O;
2306wire   [ (2-1):0] D_BTE_O;
2307
2308
2309
2310
2311
2312
2313
2314
2315
2316
2317
2318
2319
2320
2321
2322
2323
2324
2325reg valid_a;
2326
2327
2328reg valid_f;
2329reg valid_d;
2330reg valid_x;
2331reg valid_m;
2332reg valid_w;
2333
2334wire q_x;
2335wire [ (32-1):0] immediate_d;
2336wire load_d;
2337reg load_x;
2338reg load_m;
2339wire load_q_x;
2340wire store_q_x;
2341wire q_m;
2342wire load_q_m;
2343wire store_q_m;
2344wire store_d;
2345reg store_x;
2346reg store_m;
2347wire [ 1:0] size_d;
2348reg [ 1:0] size_x;
2349wire branch_d;
2350wire branch_predict_d;
2351wire branch_predict_taken_d;
2352wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_predict_address_d;
2353wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_target_d;
2354wire bi_unconditional;
2355wire bi_conditional;
2356reg branch_x;
2357reg branch_predict_x;
2358reg branch_predict_taken_x;
2359reg branch_m;
2360reg branch_predict_m;
2361reg branch_predict_taken_m;
2362wire branch_mispredict_taken_m;
2363wire branch_flushX_m;
2364wire branch_reg_d;
2365wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_offset_d;
2366reg [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_target_x;
2367reg [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_target_m;
2368wire [ 0:0] d_result_sel_0_d;
2369wire [ 1:0] d_result_sel_1_d;
2370
2371wire x_result_sel_csr_d;
2372reg x_result_sel_csr_x;
2373
2374
2375wire q_d;
2376wire x_result_sel_mc_arith_d;
2377reg x_result_sel_mc_arith_x;
2378
2379
2380
2381
2382
2383
2384
2385
2386
2387wire x_result_sel_sext_d;
2388reg x_result_sel_sext_x;
2389
2390
2391wire x_result_sel_logic_d;
2392
2393
2394
2395
2396
2397wire x_result_sel_add_d;
2398reg x_result_sel_add_x;
2399wire m_result_sel_compare_d;
2400reg m_result_sel_compare_x;
2401reg m_result_sel_compare_m;
2402
2403
2404wire m_result_sel_shift_d;
2405reg m_result_sel_shift_x;
2406reg m_result_sel_shift_m;
2407
2408
2409wire w_result_sel_load_d;
2410reg w_result_sel_load_x;
2411reg w_result_sel_load_m;
2412reg w_result_sel_load_w;
2413
2414
2415wire w_result_sel_mul_d;
2416reg w_result_sel_mul_x;
2417reg w_result_sel_mul_m;
2418reg w_result_sel_mul_w;
2419
2420
2421wire x_bypass_enable_d;
2422reg x_bypass_enable_x;
2423wire m_bypass_enable_d;
2424reg m_bypass_enable_x;
2425reg m_bypass_enable_m;
2426wire sign_extend_d;
2427reg sign_extend_x;
2428wire write_enable_d;
2429reg write_enable_x;
2430wire write_enable_q_x;
2431reg write_enable_m;
2432wire write_enable_q_m;
2433reg write_enable_w;
2434wire write_enable_q_w;
2435wire read_enable_0_d;
2436wire [ (5-1):0] read_idx_0_d;
2437wire read_enable_1_d;
2438wire [ (5-1):0] read_idx_1_d;
2439wire [ (5-1):0] write_idx_d;
2440reg [ (5-1):0] write_idx_x;
2441reg [ (5-1):0] write_idx_m;
2442reg [ (5-1):0] write_idx_w;
2443wire [ (4 -1):0] csr_d;
2444reg  [ (4 -1):0] csr_x;
2445wire [ (3-1):0] condition_d;
2446reg [ (3-1):0] condition_x;
2447
2448
2449
2450
2451
2452wire scall_d;
2453reg scall_x;
2454wire eret_d;
2455reg eret_x;
2456wire eret_q_x;
2457
2458
2459
2460
2461
2462
2463
2464
2465
2466
2467
2468
2469
2470
2471
2472wire csr_write_enable_d;
2473reg csr_write_enable_x;
2474wire csr_write_enable_q_x;
2475
2476
2477
2478
2479
2480
2481
2482wire bus_error_d;
2483reg bus_error_x;
2484reg data_bus_error_exception_m;
2485reg [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] memop_pc_w;
2486
2487
2488
2489reg [ (32-1):0] d_result_0;
2490reg [ (32-1):0] d_result_1;
2491reg [ (32-1):0] x_result;
2492reg [ (32-1):0] m_result;
2493reg [ (32-1):0] w_result;
2494
2495reg [ (32-1):0] operand_0_x;
2496reg [ (32-1):0] operand_1_x;
2497reg [ (32-1):0] store_operand_x;
2498reg [ (32-1):0] operand_m;
2499reg [ (32-1):0] operand_w;
2500
2501
2502
2503
2504reg [ (32-1):0] reg_data_live_0;
2505reg [ (32-1):0] reg_data_live_1;
2506reg use_buf;
2507reg [ (32-1):0] reg_data_buf_0;
2508reg [ (32-1):0] reg_data_buf_1;
2509
2510
2511
2512
2513
2514
2515
2516
2517wire [ (32-1):0] reg_data_0;
2518wire [ (32-1):0] reg_data_1;
2519reg [ (32-1):0] bypass_data_0;
2520reg [ (32-1):0] bypass_data_1;
2521wire reg_write_enable_q_w;
2522
2523reg interlock;
2524
2525wire stall_a;
2526wire stall_f;
2527wire stall_d;
2528wire stall_x;
2529wire stall_m;
2530
2531
2532wire adder_op_d;
2533reg adder_op_x;
2534reg adder_op_x_n;
2535wire [ (32-1):0] adder_result_x;
2536wire adder_overflow_x;
2537wire adder_carry_n_x;
2538
2539
2540wire [ 3:0] logic_op_d;
2541reg [ 3:0] logic_op_x;
2542wire [ (32-1):0] logic_result_x;
2543
2544
2545
2546
2547wire [ (32-1):0] sextb_result_x;
2548wire [ (32-1):0] sexth_result_x;
2549wire [ (32-1):0] sext_result_x;
2550
2551
2552
2553
2554
2555
2556
2557
2558
2559
2560
2561wire direction_d;
2562reg direction_x;
2563wire [ (32-1):0] shifter_result_m;
2564
2565
2566
2567
2568
2569
2570
2571
2572
2573
2574
2575
2576
2577
2578
2579
2580
2581wire [ (32-1):0] multiplier_result_w;
2582
2583
2584
2585
2586
2587
2588
2589
2590
2591
2592
2593wire divide_d;
2594wire divide_q_d;
2595wire modulus_d;
2596wire modulus_q_d;
2597wire divide_by_zero_x;
2598
2599
2600
2601
2602
2603
2604wire mc_stall_request_x;
2605wire [ (32-1):0] mc_result_x;
2606
2607
2608
2609
2610
2611
2612wire [ (32-1):0] interrupt_csr_read_data_x;
2613
2614
2615wire [ (32-1):0] cfg;
2616wire [ (32-1):0] cfg2;
2617
2618
2619
2620
2621reg [ (32-1):0] csr_read_data_x;
2622
2623
2624wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_f;
2625wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_d;
2626wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_x;
2627wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_m;
2628wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_w;
2629
2630
2631
2632
2633
2634
2635wire [ (32-1):0] instruction_f;
2636
2637
2638
2639
2640wire [ (32-1):0] instruction_d;
2641
2642
2643wire iflush;
2644wire icache_stall_request;
2645wire icache_restart_request;
2646wire icache_refill_request;
2647wire icache_refilling;
2648
2649
2650
2651
2652
2653
2654wire dflush_x;
2655reg dflush_m;
2656wire dcache_stall_request;
2657wire dcache_restart_request;
2658wire dcache_refill_request;
2659wire dcache_refilling;
2660
2661
2662wire [ (32-1):0] load_data_w;
2663wire stall_wb_load;
2664
2665
2666
2667
2668
2669
2670
2671
2672
2673
2674
2675
2676
2677
2678
2679
2680
2681
2682
2683
2684
2685
2686
2687
2688
2689wire raw_x_0;
2690wire raw_x_1;
2691wire raw_m_0;
2692wire raw_m_1;
2693wire raw_w_0;
2694wire raw_w_1;
2695
2696
2697wire cmp_zero;
2698wire cmp_negative;
2699wire cmp_overflow;
2700wire cmp_carry_n;
2701reg condition_met_x;
2702reg condition_met_m;
2703
2704
2705wire branch_taken_x;
2706
2707
2708wire branch_taken_m;
2709
2710wire kill_f;
2711wire kill_d;
2712wire kill_x;
2713wire kill_m;
2714wire kill_w;
2715
2716reg [ (clogb2(32'h7fffffff-32'h0)-2)+2-1:8] eba;
2717
2718
2719
2720
2721reg [ (3-1):0] eid_x;
2722
2723
2724
2725
2726
2727
2728
2729
2730
2731
2732
2733
2734
2735
2736
2737
2738
2739
2740
2741
2742
2743
2744
2745
2746
2747wire exception_x;
2748reg exception_m;
2749reg exception_w;
2750wire exception_q_w;
2751
2752
2753
2754
2755
2756
2757
2758
2759
2760
2761
2762
2763
2764
2765wire interrupt_exception;
2766
2767
2768
2769
2770
2771
2772
2773
2774
2775   reg [ (32-1):0] data_bus_error_addr;
2776
2777wire instruction_bus_error_exception;
2778wire data_bus_error_exception;
2779
2780
2781
2782
2783wire divide_by_zero_exception;
2784
2785
2786wire system_call_exception;
2787
2788
2789
2790reg data_bus_error_seen;
2791
2792
2793
2794
2795
2796
2797
2798
2799
2800
2801
2802
2803
2804
2805
2806
2807
2808
2809
2810
2811
2812
2813
2814
2815
2816
2817
2818
2819
2820
2821
2822
2823
2824
2825
2826
2827
2828
2829
2830
2831
2832
2833
2834
2835
2836
2837
2838
2839
2840
2841
2842
2843
2844
2845
2846
2847
2848
2849
2850
2851
2852function integer clogb2;
2853input [31:0] value;
2854begin
2855   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
2856        value = value >> 1;
2857end
2858endfunction
2859
2860function integer clogb2_v1;
2861input [31:0] value;
2862reg   [31:0] i;
2863reg   [31:0] temp;
2864begin
2865   temp = 0;
2866   i    = 0;
2867   for (i = 0; temp < value; i = i + 1)
2868	temp = 1<<i;
2869   clogb2_v1 = i-1;
2870end
2871endfunction
2872
2873
2874
2875
2876
2877
2878
2879
2880
2881lm32_instruction_unit_full #(
2882    .eba_reset              (eba_reset),
2883    .associativity          (icache_associativity),
2884    .sets                   (icache_sets),
2885    .bytes_per_line         (icache_bytes_per_line),
2886    .base_address           (icache_base_address),
2887    .limit                  (icache_limit)
2888  ) instruction_unit (
2889
2890    .clk_i                  (clk_i),
2891    .rst_i                  (rst_i),
2892
2893    .stall_a                (stall_a),
2894    .stall_f                (stall_f),
2895    .stall_d                (stall_d),
2896    .stall_x                (stall_x),
2897    .stall_m                (stall_m),
2898    .valid_f                (valid_f),
2899    .valid_d                (valid_d),
2900    .kill_f                 (kill_f),
2901    .branch_predict_taken_d (branch_predict_taken_d),
2902    .branch_predict_address_d (branch_predict_address_d),
2903
2904
2905    .branch_taken_x         (branch_taken_x),
2906    .branch_target_x        (branch_target_x),
2907
2908
2909    .exception_m            (exception_m),
2910    .branch_taken_m         (branch_taken_m),
2911    .branch_mispredict_taken_m (branch_mispredict_taken_m),
2912    .branch_target_m        (branch_target_m),
2913
2914
2915    .iflush                 (iflush),
2916
2917
2918
2919
2920    .dcache_restart_request (dcache_restart_request),
2921    .dcache_refill_request  (dcache_refill_request),
2922    .dcache_refilling       (dcache_refilling),
2923
2924
2925
2926
2927
2928    .i_dat_i                (I_DAT_I),
2929    .i_ack_i                (I_ACK_I),
2930    .i_err_i                (I_ERR_I),
2931    .i_rty_i                (I_RTY_I),
2932
2933
2934
2935
2936
2937
2938
2939
2940
2941
2942
2943    .pc_f                   (pc_f),
2944    .pc_d                   (pc_d),
2945    .pc_x                   (pc_x),
2946    .pc_m                   (pc_m),
2947    .pc_w                   (pc_w),
2948
2949
2950    .icache_stall_request   (icache_stall_request),
2951    .icache_restart_request (icache_restart_request),
2952    .icache_refill_request  (icache_refill_request),
2953    .icache_refilling       (icache_refilling),
2954
2955
2956
2957
2958
2959    .i_dat_o                (I_DAT_O),
2960    .i_adr_o                (I_ADR_O),
2961    .i_cyc_o                (I_CYC_O),
2962    .i_sel_o                (I_SEL_O),
2963    .i_stb_o                (I_STB_O),
2964    .i_we_o                 (I_WE_O),
2965    .i_cti_o                (I_CTI_O),
2966    .i_lock_o               (I_LOCK_O),
2967    .i_bte_o                (I_BTE_O),
2968
2969
2970
2971
2972
2973
2974
2975
2976
2977
2978
2979
2980
2981
2982
2983
2984
2985    .bus_error_d            (bus_error_d),
2986
2987
2988
2989
2990    .instruction_f          (instruction_f),
2991
2992
2993
2994
2995    .instruction_d          (instruction_d)
2996
2997
2998
2999    );
3000
3001
3002lm32_decoder_full decoder (
3003
3004    .instruction            (instruction_d),
3005
3006    .d_result_sel_0         (d_result_sel_0_d),
3007    .d_result_sel_1         (d_result_sel_1_d),
3008    .x_result_sel_csr       (x_result_sel_csr_d),
3009
3010
3011    .x_result_sel_mc_arith  (x_result_sel_mc_arith_d),
3012
3013
3014
3015
3016
3017
3018
3019
3020    .x_result_sel_sext      (x_result_sel_sext_d),
3021
3022
3023    .x_result_sel_logic     (x_result_sel_logic_d),
3024
3025
3026
3027
3028    .x_result_sel_add       (x_result_sel_add_d),
3029    .m_result_sel_compare   (m_result_sel_compare_d),
3030
3031
3032    .m_result_sel_shift     (m_result_sel_shift_d),
3033
3034
3035    .w_result_sel_load      (w_result_sel_load_d),
3036
3037
3038    .w_result_sel_mul       (w_result_sel_mul_d),
3039
3040
3041    .x_bypass_enable        (x_bypass_enable_d),
3042    .m_bypass_enable        (m_bypass_enable_d),
3043    .read_enable_0          (read_enable_0_d),
3044    .read_idx_0             (read_idx_0_d),
3045    .read_enable_1          (read_enable_1_d),
3046    .read_idx_1             (read_idx_1_d),
3047    .write_enable           (write_enable_d),
3048    .write_idx              (write_idx_d),
3049    .immediate              (immediate_d),
3050    .branch_offset          (branch_offset_d),
3051    .load                   (load_d),
3052    .store                  (store_d),
3053    .size                   (size_d),
3054    .sign_extend            (sign_extend_d),
3055    .adder_op               (adder_op_d),
3056    .logic_op               (logic_op_d),
3057
3058
3059    .direction              (direction_d),
3060
3061
3062
3063
3064
3065
3066
3067
3068
3069
3070
3071
3072
3073    .divide                 (divide_d),
3074    .modulus                (modulus_d),
3075
3076
3077    .branch                 (branch_d),
3078    .bi_unconditional       (bi_unconditional),
3079    .bi_conditional         (bi_conditional),
3080    .branch_reg             (branch_reg_d),
3081    .condition              (condition_d),
3082
3083
3084
3085
3086    .scall                  (scall_d),
3087    .eret                   (eret_d),
3088
3089
3090
3091
3092
3093
3094
3095
3096    .csr_write_enable       (csr_write_enable_d)
3097    );
3098
3099
3100lm32_load_store_unit_full #(
3101    .associativity          (dcache_associativity),
3102    .sets                   (dcache_sets),
3103    .bytes_per_line         (dcache_bytes_per_line),
3104    .base_address           (dcache_base_address),
3105    .limit                  (dcache_limit)
3106  ) load_store_unit (
3107
3108    .clk_i                  (clk_i),
3109    .rst_i                  (rst_i),
3110
3111    .stall_a                (stall_a),
3112    .stall_x                (stall_x),
3113    .stall_m                (stall_m),
3114    .kill_x                 (kill_x),
3115    .kill_m                 (kill_m),
3116    .exception_m            (exception_m),
3117    .store_operand_x        (store_operand_x),
3118    .load_store_address_x   (adder_result_x),
3119    .load_store_address_m   (operand_m),
3120    .load_store_address_w   (operand_w[1:0]),
3121    .load_x                 (load_x),
3122    .store_x                (store_x),
3123    .load_q_x               (load_q_x),
3124    .store_q_x              (store_q_x),
3125    .load_q_m               (load_q_m),
3126    .store_q_m              (store_q_m),
3127    .sign_extend_x          (sign_extend_x),
3128    .size_x                 (size_x),
3129
3130
3131    .dflush                 (dflush_m),
3132
3133
3134
3135
3136
3137
3138
3139
3140
3141
3142
3143
3144
3145
3146
3147    .d_dat_i                (D_DAT_I),
3148    .d_ack_i                (D_ACK_I),
3149    .d_err_i                (D_ERR_I),
3150    .d_rty_i                (D_RTY_I),
3151
3152
3153
3154
3155    .dcache_refill_request  (dcache_refill_request),
3156    .dcache_restart_request (dcache_restart_request),
3157    .dcache_stall_request   (dcache_stall_request),
3158    .dcache_refilling       (dcache_refilling),
3159
3160
3161    .load_data_w            (load_data_w),
3162    .stall_wb_load          (stall_wb_load),
3163
3164    .d_dat_o                (D_DAT_O),
3165    .d_adr_o                (D_ADR_O),
3166    .d_cyc_o                (D_CYC_O),
3167    .d_sel_o                (D_SEL_O),
3168    .d_stb_o                (D_STB_O),
3169    .d_we_o                 (D_WE_O),
3170    .d_cti_o                (D_CTI_O),
3171    .d_lock_o               (D_LOCK_O),
3172    .d_bte_o                (D_BTE_O)
3173    );
3174
3175
3176lm32_adder adder (
3177
3178    .adder_op_x             (adder_op_x),
3179    .adder_op_x_n           (adder_op_x_n),
3180    .operand_0_x            (operand_0_x),
3181    .operand_1_x            (operand_1_x),
3182
3183    .adder_result_x         (adder_result_x),
3184    .adder_carry_n_x        (adder_carry_n_x),
3185    .adder_overflow_x       (adder_overflow_x)
3186    );
3187
3188
3189lm32_logic_op logic_op (
3190
3191    .logic_op_x             (logic_op_x),
3192    .operand_0_x            (operand_0_x),
3193
3194    .operand_1_x            (operand_1_x),
3195
3196    .logic_result_x         (logic_result_x)
3197    );
3198
3199
3200
3201
3202lm32_shifter shifter (
3203
3204    .clk_i                  (clk_i),
3205    .rst_i                  (rst_i),
3206    .stall_x                (stall_x),
3207    .direction_x            (direction_x),
3208    .sign_extend_x          (sign_extend_x),
3209    .operand_0_x            (operand_0_x),
3210    .operand_1_x            (operand_1_x),
3211
3212    .shifter_result_m       (shifter_result_m)
3213    );
3214
3215
3216
3217
3218
3219
3220lm32_multiplier multiplier (
3221
3222    .clk_i                  (clk_i),
3223    .rst_i                  (rst_i),
3224    .stall_x                (stall_x),
3225    .stall_m                (stall_m),
3226    .operand_0              (d_result_0),
3227    .operand_1              (d_result_1),
3228
3229    .result                 (multiplier_result_w)
3230    );
3231
3232
3233
3234
3235
3236
3237lm32_mc_arithmetic_full mc_arithmetic (
3238
3239    .clk_i                  (clk_i),
3240    .rst_i                  (rst_i),
3241    .stall_d                (stall_d),
3242    .kill_x                 (kill_x),
3243
3244
3245    .divide_d               (divide_q_d),
3246    .modulus_d              (modulus_q_d),
3247
3248
3249
3250
3251
3252
3253
3254
3255
3256
3257
3258
3259    .operand_0_d            (d_result_0),
3260    .operand_1_d            (d_result_1),
3261
3262    .result_x               (mc_result_x),
3263
3264
3265    .divide_by_zero_x       (divide_by_zero_x),
3266
3267
3268    .stall_request_x        (mc_stall_request_x)
3269    );
3270
3271
3272
3273
3274
3275
3276lm32_interrupt_full interrupt_unit (
3277
3278    .clk_i                  (clk_i),
3279    .rst_i                  (rst_i),
3280
3281    .interrupt              (interrupt),
3282
3283    .stall_x                (stall_x),
3284
3285
3286
3287
3288
3289    .exception              (exception_q_w),
3290
3291
3292    .eret_q_x               (eret_q_x),
3293
3294
3295
3296
3297    .csr                    (csr_x),
3298    .csr_write_data         (operand_1_x),
3299    .csr_write_enable       (csr_write_enable_q_x),
3300
3301    .interrupt_exception    (interrupt_exception),
3302
3303    .csr_read_data          (interrupt_csr_read_data_x)
3304    );
3305
3306
3307
3308
3309
3310
3311
3312
3313
3314
3315
3316
3317
3318
3319
3320
3321
3322
3323
3324
3325
3326
3327
3328
3329
3330
3331
3332
3333
3334
3335
3336
3337
3338
3339
3340
3341
3342
3343
3344
3345
3346
3347
3348
3349
3350
3351
3352
3353
3354
3355
3356
3357
3358
3359
3360
3361
3362
3363
3364
3365
3366
3367
3368
3369
3370
3371
3372
3373
3374
3375
3376
3377
3378
3379
3380
3381
3382
3383
3384
3385
3386
3387
3388
3389
3390
3391
3392
3393
3394
3395
3396
3397
3398
3399
3400
3401
3402
3403
3404
3405
3406
3407
3408
3409
3410
3411
3412
3413
3414
3415
3416
3417
3418
3419
3420
3421
3422
3423
3424
3425
3426
3427
3428
3429
3430
3431
3432   wire [31:0] regfile_data_0, regfile_data_1;
3433   reg [31:0]  w_result_d;
3434   reg 	       regfile_raw_0, regfile_raw_0_nxt;
3435   reg 	       regfile_raw_1, regfile_raw_1_nxt;
3436
3437
3438
3439
3440
3441   always @(reg_write_enable_q_w or write_idx_w or instruction_f)
3442     begin
3443	if (reg_write_enable_q_w
3444	    && (write_idx_w == instruction_f[25:21]))
3445	  regfile_raw_0_nxt = 1'b1;
3446	else
3447	  regfile_raw_0_nxt = 1'b0;
3448
3449	if (reg_write_enable_q_w
3450	    && (write_idx_w == instruction_f[20:16]))
3451	  regfile_raw_1_nxt = 1'b1;
3452	else
3453	  regfile_raw_1_nxt = 1'b0;
3454     end
3455
3456
3457
3458
3459
3460
3461   always @(regfile_raw_0 or w_result_d or regfile_data_0)
3462     if (regfile_raw_0)
3463       reg_data_live_0 = w_result_d;
3464     else
3465       reg_data_live_0 = regfile_data_0;
3466
3467
3468
3469
3470
3471
3472   always @(regfile_raw_1 or w_result_d or regfile_data_1)
3473     if (regfile_raw_1)
3474       reg_data_live_1 = w_result_d;
3475     else
3476       reg_data_live_1 = regfile_data_1;
3477
3478
3479
3480
3481   always @(posedge clk_i  )
3482     if (rst_i ==  1'b1)
3483       begin
3484	  regfile_raw_0 <= 1'b0;
3485	  regfile_raw_1 <= 1'b0;
3486	  w_result_d <= 32'b0;
3487       end
3488     else
3489       begin
3490	  regfile_raw_0 <= regfile_raw_0_nxt;
3491	  regfile_raw_1 <= regfile_raw_1_nxt;
3492	  w_result_d <= w_result;
3493       end
3494
3495
3496
3497
3498
3499   lm32_dp_ram
3500     #(
3501
3502       .addr_depth(1<<5),
3503       .addr_width(5),
3504       .data_width(32)
3505       )
3506   reg_0
3507     (
3508
3509      .clk_i	(clk_i),
3510      .rst_i	(rst_i),
3511      .we_i	(reg_write_enable_q_w),
3512      .wdata_i	(w_result),
3513      .waddr_i	(write_idx_w),
3514      .raddr_i	(instruction_f[25:21]),
3515
3516      .rdata_o	(regfile_data_0)
3517      );
3518
3519   lm32_dp_ram
3520     #(
3521       .addr_depth(1<<5),
3522       .addr_width(5),
3523       .data_width(32)
3524       )
3525   reg_1
3526     (
3527
3528      .clk_i	(clk_i),
3529      .rst_i	(rst_i),
3530      .we_i	(reg_write_enable_q_w),
3531      .wdata_i	(w_result),
3532      .waddr_i	(write_idx_w),
3533      .raddr_i	(instruction_f[20:16]),
3534
3535      .rdata_o	(regfile_data_1)
3536      );
3537
3538
3539
3540
3541
3542
3543
3544
3545
3546
3547
3548
3549
3550
3551
3552
3553
3554
3555
3556
3557
3558
3559
3560
3561
3562
3563
3564
3565
3566
3567
3568
3569
3570
3571
3572
3573
3574
3575
3576
3577
3578
3579
3580
3581
3582
3583
3584
3585
3586
3587
3588
3589
3590
3591
3592
3593
3594
3595
3596
3597
3598
3599
3600
3601
3602
3603
3604
3605
3606
3607
3608
3609
3610
3611
3612
3613
3614
3615
3616
3617assign reg_data_0 = use_buf ? reg_data_buf_0 : reg_data_live_0;
3618assign reg_data_1 = use_buf ? reg_data_buf_1 : reg_data_live_1;
3619
3620
3621
3622
3623
3624
3625
3626
3627
3628
3629
3630
3631assign raw_x_0 = (write_idx_x == read_idx_0_d) && (write_enable_q_x ==  1'b1);
3632assign raw_m_0 = (write_idx_m == read_idx_0_d) && (write_enable_q_m ==  1'b1);
3633assign raw_w_0 = (write_idx_w == read_idx_0_d) && (write_enable_q_w ==  1'b1);
3634assign raw_x_1 = (write_idx_x == read_idx_1_d) && (write_enable_q_x ==  1'b1);
3635assign raw_m_1 = (write_idx_m == read_idx_1_d) && (write_enable_q_m ==  1'b1);
3636assign raw_w_1 = (write_idx_w == read_idx_1_d) && (write_enable_q_w ==  1'b1);
3637
3638
3639always @(*)
3640begin
3641    if (   (   (x_bypass_enable_x ==  1'b0)
3642            && (   ((read_enable_0_d ==  1'b1) && (raw_x_0 ==  1'b1))
3643                || ((read_enable_1_d ==  1'b1) && (raw_x_1 ==  1'b1))
3644               )
3645           )
3646        || (   (m_bypass_enable_m ==  1'b0)
3647            && (   ((read_enable_0_d ==  1'b1) && (raw_m_0 ==  1'b1))
3648                || ((read_enable_1_d ==  1'b1) && (raw_m_1 ==  1'b1))
3649               )
3650           )
3651       )
3652        interlock =  1'b1;
3653    else
3654        interlock =  1'b0;
3655end
3656
3657
3658always @(*)
3659begin
3660    if (raw_x_0 ==  1'b1)
3661        bypass_data_0 = x_result;
3662    else if (raw_m_0 ==  1'b1)
3663        bypass_data_0 = m_result;
3664    else if (raw_w_0 ==  1'b1)
3665        bypass_data_0 = w_result;
3666    else
3667        bypass_data_0 = reg_data_0;
3668end
3669
3670
3671always @(*)
3672begin
3673    if (raw_x_1 ==  1'b1)
3674        bypass_data_1 = x_result;
3675    else if (raw_m_1 ==  1'b1)
3676        bypass_data_1 = m_result;
3677    else if (raw_w_1 ==  1'b1)
3678        bypass_data_1 = w_result;
3679    else
3680        bypass_data_1 = reg_data_1;
3681end
3682
3683
3684
3685
3686
3687
3688
3689   assign branch_predict_d = bi_unconditional | bi_conditional;
3690   assign branch_predict_taken_d = bi_unconditional ? 1'b1 : (bi_conditional ? instruction_d[15] : 1'b0);
3691
3692
3693   assign branch_target_d = pc_d + branch_offset_d;
3694
3695
3696
3697
3698   assign branch_predict_address_d = branch_predict_taken_d ? branch_target_d : pc_f;
3699
3700
3701always @(*)
3702begin
3703    d_result_0 = d_result_sel_0_d[0] ? {pc_f, 2'b00} : bypass_data_0;
3704    case (d_result_sel_1_d)
3705     2'b00:      d_result_1 = { 32{1'b0}};
3706     2'b01:     d_result_1 = bypass_data_1;
3707     2'b10: d_result_1 = immediate_d;
3708    default:                        d_result_1 = { 32{1'bx}};
3709    endcase
3710end
3711
3712
3713
3714
3715
3716
3717
3718
3719
3720
3721
3722assign sextb_result_x = {{24{operand_0_x[7]}}, operand_0_x[7:0]};
3723assign sexth_result_x = {{16{operand_0_x[15]}}, operand_0_x[15:0]};
3724assign sext_result_x = size_x ==  2'b00 ? sextb_result_x : sexth_result_x;
3725
3726
3727
3728
3729
3730
3731
3732
3733
3734
3735assign cmp_zero = operand_0_x == operand_1_x;
3736assign cmp_negative = adder_result_x[ 32-1];
3737assign cmp_overflow = adder_overflow_x;
3738assign cmp_carry_n = adder_carry_n_x;
3739always @(*)
3740begin
3741    case (condition_x)
3742     3'b000:   condition_met_x =  1'b1;
3743     3'b110:   condition_met_x =  1'b1;
3744     3'b001:    condition_met_x = cmp_zero;
3745     3'b111:   condition_met_x = !cmp_zero;
3746     3'b010:    condition_met_x = !cmp_zero && (cmp_negative == cmp_overflow);
3747     3'b101:   condition_met_x = cmp_carry_n && !cmp_zero;
3748     3'b011:   condition_met_x = cmp_negative == cmp_overflow;
3749     3'b100:  condition_met_x = cmp_carry_n;
3750    default:              condition_met_x = 1'bx;
3751    endcase
3752end
3753
3754
3755always @(*)
3756begin
3757    x_result =   x_result_sel_add_x ? adder_result_x
3758               : x_result_sel_csr_x ? csr_read_data_x
3759
3760
3761               : x_result_sel_sext_x ? sext_result_x
3762
3763
3764
3765
3766
3767
3768
3769
3770
3771
3772
3773
3774               : x_result_sel_mc_arith_x ? mc_result_x
3775
3776
3777               : logic_result_x;
3778end
3779
3780
3781always @(*)
3782begin
3783    m_result =   m_result_sel_compare_m ? {{ 32-1{1'b0}}, condition_met_m}
3784
3785
3786               : m_result_sel_shift_m ? shifter_result_m
3787
3788
3789               : operand_m;
3790end
3791
3792
3793always @(*)
3794begin
3795    w_result =    w_result_sel_load_w ? load_data_w
3796
3797
3798                : w_result_sel_mul_w ? multiplier_result_w
3799
3800
3801                : operand_w;
3802end
3803
3804
3805
3806
3807assign branch_taken_x =      (stall_x ==  1'b0)
3808                          && (   (branch_x ==  1'b1)
3809                              && ((condition_x ==  3'b000) || (condition_x ==  3'b110))
3810                              && (valid_x ==  1'b1)
3811                              && (branch_predict_x ==  1'b0)
3812                             );
3813
3814
3815
3816
3817assign branch_taken_m =      (stall_m ==  1'b0)
3818                          && (   (   (branch_m ==  1'b1)
3819                                  && (valid_m ==  1'b1)
3820                                  && (   (   (condition_met_m ==  1'b1)
3821					  && (branch_predict_taken_m ==  1'b0)
3822					 )
3823				      || (   (condition_met_m ==  1'b0)
3824					  && (branch_predict_m ==  1'b1)
3825					  && (branch_predict_taken_m ==  1'b1)
3826					 )
3827				     )
3828                                 )
3829                              || (exception_m ==  1'b1)
3830                             );
3831
3832
3833assign branch_mispredict_taken_m =    (condition_met_m ==  1'b0)
3834                                   && (branch_predict_m ==  1'b1)
3835	   			   && (branch_predict_taken_m ==  1'b1);
3836
3837
3838assign branch_flushX_m =    (stall_m ==  1'b0)
3839                         && (   (   (branch_m ==  1'b1)
3840                                 && (valid_m ==  1'b1)
3841			         && (   (condition_met_m ==  1'b1)
3842				     || (   (condition_met_m ==  1'b0)
3843					 && (branch_predict_m ==  1'b1)
3844					 && (branch_predict_taken_m ==  1'b1)
3845					)
3846				    )
3847			        )
3848			     || (exception_m ==  1'b1)
3849			    );
3850
3851
3852assign kill_f =    (   (valid_d ==  1'b1)
3853                    && (branch_predict_taken_d ==  1'b1)
3854		   )
3855                || (branch_taken_m ==  1'b1)
3856
3857
3858                || (branch_taken_x ==  1'b1)
3859
3860
3861
3862
3863                || (icache_refill_request ==  1'b1)
3864
3865
3866
3867
3868                || (dcache_refill_request ==  1'b1)
3869
3870
3871                ;
3872assign kill_d =    (branch_taken_m ==  1'b1)
3873
3874
3875                || (branch_taken_x ==  1'b1)
3876
3877
3878
3879
3880                || (icache_refill_request ==  1'b1)
3881
3882
3883
3884
3885                || (dcache_refill_request ==  1'b1)
3886
3887
3888                ;
3889assign kill_x =    (branch_flushX_m ==  1'b1)
3890
3891
3892                || (dcache_refill_request ==  1'b1)
3893
3894
3895                ;
3896assign kill_m =     1'b0
3897
3898
3899                || (dcache_refill_request ==  1'b1)
3900
3901
3902                ;
3903assign kill_w =     1'b0
3904
3905
3906                || (dcache_refill_request ==  1'b1)
3907
3908
3909                ;
3910
3911
3912
3913
3914
3915
3916
3917
3918
3919
3920
3921
3922
3923
3924
3925
3926
3927
3928
3929
3930
3931
3932
3933assign instruction_bus_error_exception = (   (bus_error_x ==  1'b1)
3934                                          && (valid_x ==  1'b1)
3935                                         );
3936assign data_bus_error_exception = data_bus_error_seen ==  1'b1;
3937
3938
3939
3940
3941
3942assign divide_by_zero_exception = divide_by_zero_x ==  1'b1;
3943
3944
3945
3946assign system_call_exception = (   (scall_x ==  1'b1)
3947
3948
3949                                && (valid_x ==  1'b1)
3950
3951
3952			       );
3953
3954
3955
3956
3957
3958
3959
3960
3961
3962
3963
3964
3965
3966
3967
3968
3969
3970
3971
3972
3973
3974
3975
3976
3977
3978
3979
3980
3981
3982
3983
3984
3985
3986assign exception_x =           (system_call_exception ==  1'b1)
3987
3988
3989                            || (instruction_bus_error_exception ==  1'b1)
3990                            || (data_bus_error_exception ==  1'b1)
3991
3992
3993
3994
3995                            || (divide_by_zero_exception ==  1'b1)
3996
3997
3998
3999
4000                            || (   (interrupt_exception ==  1'b1)
4001
4002
4003
4004
4005
4006
4007 				&& (store_q_m ==  1'b0)
4008				&& (D_CYC_O ==  1'b0)
4009
4010
4011                               )
4012
4013
4014                            ;
4015
4016
4017
4018
4019
4020
4021
4022
4023
4024
4025
4026
4027
4028
4029
4030always @(*)
4031begin
4032
4033
4034
4035
4036
4037
4038
4039
4040
4041
4042
4043
4044
4045
4046
4047
4048
4049
4050         if (data_bus_error_exception ==  1'b1)
4051        eid_x =  3'h4;
4052    else
4053         if (instruction_bus_error_exception ==  1'b1)
4054        eid_x =  3'h2;
4055    else
4056
4057
4058
4059
4060
4061
4062
4063
4064
4065
4066         if (divide_by_zero_exception ==  1'b1)
4067        eid_x =  3'h5;
4068    else
4069
4070
4071
4072
4073         if (   (interrupt_exception ==  1'b1)
4074
4075
4076
4077
4078            )
4079        eid_x =  3'h6;
4080    else
4081
4082
4083        eid_x =  3'h7;
4084end
4085
4086
4087
4088assign stall_a = (stall_f ==  1'b1);
4089
4090assign stall_f = (stall_d ==  1'b1);
4091
4092assign stall_d =   (stall_x ==  1'b1)
4093                || (   (interlock ==  1'b1)
4094                    && (kill_d ==  1'b0)
4095                   )
4096		|| (   (   (eret_d ==  1'b1)
4097			|| (scall_d ==  1'b1)
4098
4099
4100			|| (bus_error_d ==  1'b1)
4101
4102
4103		       )
4104		    && (   (load_q_x ==  1'b1)
4105			|| (load_q_m ==  1'b1)
4106			|| (store_q_x ==  1'b1)
4107			|| (store_q_m ==  1'b1)
4108			|| (D_CYC_O ==  1'b1)
4109		       )
4110                    && (kill_d ==  1'b0)
4111		   )
4112
4113
4114
4115
4116
4117
4118
4119
4120
4121
4122
4123
4124
4125
4126                || (   (csr_write_enable_d ==  1'b1)
4127                    && (load_q_x ==  1'b1)
4128                   )
4129
4130
4131
4132
4133
4134
4135
4136
4137
4138
4139                ;
4140
4141assign stall_x =    (stall_m ==  1'b1)
4142
4143
4144                 || (   (mc_stall_request_x ==  1'b1)
4145                     && (kill_x ==  1'b0)
4146                    )
4147
4148
4149
4150
4151                 ;
4152
4153assign stall_m =    (stall_wb_load ==  1'b1)
4154
4155
4156
4157
4158                 || (   (D_CYC_O ==  1'b1)
4159                     && (   (store_m ==  1'b1)
4160
4161
4162
4163
4164
4165
4166
4167
4168
4169
4170
4171
4172
4173
4174
4175		         || ((store_x ==  1'b1) && (interrupt_exception ==  1'b1))
4176
4177
4178                         || (load_m ==  1'b1)
4179                         || (load_x ==  1'b1)
4180                        )
4181                    )
4182
4183
4184
4185
4186                 || (dcache_stall_request ==  1'b1)
4187
4188
4189
4190
4191                 || (icache_stall_request ==  1'b1)
4192                 || ((I_CYC_O ==  1'b1) && ((branch_m ==  1'b1) || (exception_m ==  1'b1)))
4193
4194
4195
4196
4197
4198
4199
4200
4201
4202
4203
4204
4205
4206
4207
4208
4209                 ;
4210
4211
4212
4213
4214
4215
4216assign q_d = (valid_d ==  1'b1) && (kill_d ==  1'b0);
4217
4218
4219
4220
4221
4222
4223
4224
4225
4226
4227
4228
4229
4230assign divide_q_d = (divide_d ==  1'b1) && (q_d ==  1'b1);
4231assign modulus_q_d = (modulus_d ==  1'b1) && (q_d ==  1'b1);
4232
4233
4234assign q_x = (valid_x ==  1'b1) && (kill_x ==  1'b0);
4235assign csr_write_enable_q_x = (csr_write_enable_x ==  1'b1) && (q_x ==  1'b1);
4236assign eret_q_x = (eret_x ==  1'b1) && (q_x ==  1'b1);
4237
4238
4239
4240
4241assign load_q_x = (load_x ==  1'b1)
4242               && (q_x ==  1'b1)
4243
4244
4245
4246
4247                  ;
4248assign store_q_x = (store_x ==  1'b1)
4249               && (q_x ==  1'b1)
4250
4251
4252
4253
4254                  ;
4255
4256
4257
4258
4259assign q_m = (valid_m ==  1'b1) && (kill_m ==  1'b0) && (exception_m ==  1'b0);
4260assign load_q_m = (load_m ==  1'b1) && (q_m ==  1'b1);
4261assign store_q_m = (store_m ==  1'b1) && (q_m ==  1'b1);
4262
4263
4264
4265
4266
4267assign exception_q_w = ((exception_w ==  1'b1) && (valid_w ==  1'b1));
4268
4269
4270
4271assign write_enable_q_x = (write_enable_x ==  1'b1) && (valid_x ==  1'b1) && (branch_flushX_m ==  1'b0);
4272assign write_enable_q_m = (write_enable_m ==  1'b1) && (valid_m ==  1'b1);
4273assign write_enable_q_w = (write_enable_w ==  1'b1) && (valid_w ==  1'b1);
4274
4275assign reg_write_enable_q_w = (write_enable_w ==  1'b1) && (kill_w ==  1'b0) && (valid_w ==  1'b1);
4276
4277
4278assign cfg = {
4279               6'h02,
4280              watchpoints[3:0],
4281              breakpoints[3:0],
4282              interrupts[5:0],
4283
4284
4285
4286
4287               1'b0,
4288
4289
4290
4291
4292
4293
4294               1'b0,
4295
4296
4297
4298
4299
4300
4301               1'b0,
4302
4303
4304
4305
4306
4307
4308               1'b0,
4309
4310
4311
4312
4313               1'b1,
4314
4315
4316
4317
4318
4319
4320               1'b1,
4321
4322
4323
4324
4325
4326
4327
4328
4329               1'b0,
4330
4331
4332
4333
4334
4335
4336               1'b0,
4337
4338
4339
4340
4341               1'b1,
4342
4343
4344
4345
4346
4347
4348               1'b1,
4349
4350
4351
4352
4353
4354
4355               1'b1,
4356
4357
4358
4359
4360
4361
4362               1'b1
4363
4364
4365
4366
4367              };
4368
4369assign cfg2 = {
4370		     30'b0,
4371
4372
4373
4374
4375		      1'b0,
4376
4377
4378
4379
4380
4381
4382		      1'b0
4383
4384
4385		     };
4386
4387
4388
4389
4390assign iflush = (   (csr_write_enable_d ==  1'b1)
4391                 && (csr_d ==  4 'h3)
4392                 && (stall_d ==  1'b0)
4393                 && (kill_d ==  1'b0)
4394                 && (valid_d ==  1'b1))
4395
4396
4397
4398
4399
4400
4401
4402		 ;
4403
4404
4405
4406
4407assign dflush_x = (   (csr_write_enable_q_x ==  1'b1)
4408                   && (csr_x ==  4 'h4))
4409
4410
4411
4412
4413
4414
4415
4416		   ;
4417
4418
4419
4420
4421assign csr_d = read_idx_0_d[ (4 -1):0];
4422
4423
4424always @(*)
4425begin
4426    case (csr_x)
4427
4428
4429     4 'h0,
4430     4 'h1,
4431     4 'h2:   csr_read_data_x = interrupt_csr_read_data_x;
4432
4433
4434
4435
4436
4437
4438     4 'h6:  csr_read_data_x = cfg;
4439     4 'h7:  csr_read_data_x = {eba, 8'h00};
4440
4441
4442
4443
4444
4445
4446
4447
4448
4449     4 'ha: csr_read_data_x = cfg2;
4450     4 'hb:  csr_read_data_x = sdb_address;
4451
4452
4453     4 'hc:  csr_read_data_x = data_bus_error_addr;
4454
4455
4456
4457
4458    default:        csr_read_data_x = { 32{1'bx}};
4459    endcase
4460end
4461
4462
4463
4464
4465
4466
4467always @(posedge clk_i  )
4468begin
4469    if (rst_i ==  1'b1)
4470        eba <= eba_reset[ (clogb2(32'h7fffffff-32'h0)-2)+2-1:8];
4471    else
4472    begin
4473        if ((csr_write_enable_q_x ==  1'b1) && (csr_x ==  4 'h7) && (stall_x ==  1'b0))
4474            eba <= operand_1_x[ (clogb2(32'h7fffffff-32'h0)-2)+2-1:8];
4475
4476
4477
4478
4479
4480
4481
4482
4483
4484
4485
4486    end
4487end
4488
4489
4490
4491
4492
4493
4494
4495
4496
4497
4498
4499
4500
4501
4502
4503
4504
4505
4506
4507
4508
4509
4510
4511
4512
4513
4514
4515
4516
4517
4518
4519
4520
4521
4522
4523
4524
4525
4526
4527
4528
4529always @(posedge clk_i  )
4530begin
4531    if (rst_i ==  1'b1)
4532        data_bus_error_seen <=  1'b0;
4533    else
4534    begin
4535
4536        if ((D_ERR_I ==  1'b1) && (D_CYC_O ==  1'b1)) begin
4537           data_bus_error_seen <=  1'b1;
4538	   data_bus_error_addr <= D_ADR_O;
4539	end
4540
4541        if ((exception_m ==  1'b1) && (kill_m ==  1'b0))
4542            data_bus_error_seen <=  1'b0;
4543    end
4544end
4545
4546
4547
4548
4549
4550
4551
4552
4553
4554always @(*)
4555begin
4556    if (   (icache_refill_request ==  1'b1)
4557        || (dcache_refill_request ==  1'b1)
4558       )
4559        valid_a =  1'b0;
4560    else if (   (icache_restart_request ==  1'b1)
4561             || (dcache_restart_request ==  1'b1)
4562            )
4563        valid_a =  1'b1;
4564    else
4565        valid_a = !icache_refilling && !dcache_refilling;
4566end
4567
4568
4569
4570
4571
4572
4573
4574
4575
4576
4577
4578
4579
4580
4581
4582
4583
4584
4585
4586
4587
4588
4589
4590
4591
4592
4593
4594always @(posedge clk_i  )
4595begin
4596    if (rst_i ==  1'b1)
4597    begin
4598        valid_f <=  1'b0;
4599        valid_d <=  1'b0;
4600        valid_x <=  1'b0;
4601        valid_m <=  1'b0;
4602        valid_w <=  1'b0;
4603    end
4604    else
4605    begin
4606        if ((kill_f ==  1'b1) || (stall_a ==  1'b0))
4607
4608
4609            valid_f <= valid_a;
4610
4611
4612
4613
4614        else if (stall_f ==  1'b0)
4615            valid_f <=  1'b0;
4616
4617        if (kill_d ==  1'b1)
4618            valid_d <=  1'b0;
4619        else if (stall_f ==  1'b0)
4620            valid_d <= valid_f & !kill_f;
4621        else if (stall_d ==  1'b0)
4622            valid_d <=  1'b0;
4623
4624        if (stall_d ==  1'b0)
4625            valid_x <= valid_d & !kill_d;
4626        else if (kill_x ==  1'b1)
4627            valid_x <=  1'b0;
4628        else if (stall_x ==  1'b0)
4629            valid_x <=  1'b0;
4630
4631        if (kill_m ==  1'b1)
4632            valid_m <=  1'b0;
4633        else if (stall_x ==  1'b0)
4634            valid_m <= valid_x & !kill_x;
4635        else if (stall_m ==  1'b0)
4636            valid_m <=  1'b0;
4637
4638        if (stall_m ==  1'b0)
4639            valid_w <= valid_m & !kill_m;
4640        else
4641            valid_w <=  1'b0;
4642    end
4643end
4644
4645
4646always @(posedge clk_i  )
4647begin
4648    if (rst_i ==  1'b1)
4649    begin
4650
4651
4652
4653
4654        operand_0_x <= { 32{1'b0}};
4655        operand_1_x <= { 32{1'b0}};
4656        store_operand_x <= { 32{1'b0}};
4657        branch_target_x <= { (clogb2(32'h7fffffff-32'h0)-2){1'b0}};
4658        x_result_sel_csr_x <=  1'b0;
4659
4660
4661        x_result_sel_mc_arith_x <=  1'b0;
4662
4663
4664
4665
4666
4667
4668
4669
4670        x_result_sel_sext_x <=  1'b0;
4671
4672
4673
4674
4675
4676
4677        x_result_sel_add_x <=  1'b0;
4678        m_result_sel_compare_x <=  1'b0;
4679
4680
4681        m_result_sel_shift_x <=  1'b0;
4682
4683
4684        w_result_sel_load_x <=  1'b0;
4685
4686
4687        w_result_sel_mul_x <=  1'b0;
4688
4689
4690        x_bypass_enable_x <=  1'b0;
4691        m_bypass_enable_x <=  1'b0;
4692        write_enable_x <=  1'b0;
4693        write_idx_x <= { 5{1'b0}};
4694        csr_x <= { 4 {1'b0}};
4695        load_x <=  1'b0;
4696        store_x <=  1'b0;
4697        size_x <= { 2{1'b0}};
4698        sign_extend_x <=  1'b0;
4699        adder_op_x <=  1'b0;
4700        adder_op_x_n <=  1'b0;
4701        logic_op_x <= 4'h0;
4702
4703
4704        direction_x <=  1'b0;
4705
4706
4707
4708
4709
4710
4711
4712        branch_x <=  1'b0;
4713        branch_predict_x <=  1'b0;
4714        branch_predict_taken_x <=  1'b0;
4715        condition_x <=  3'b000;
4716
4717
4718
4719
4720        scall_x <=  1'b0;
4721        eret_x <=  1'b0;
4722
4723
4724
4725
4726
4727
4728        bus_error_x <=  1'b0;
4729        data_bus_error_exception_m <=  1'b0;
4730
4731
4732        csr_write_enable_x <=  1'b0;
4733        operand_m <= { 32{1'b0}};
4734        branch_target_m <= { (clogb2(32'h7fffffff-32'h0)-2){1'b0}};
4735        m_result_sel_compare_m <=  1'b0;
4736
4737
4738        m_result_sel_shift_m <=  1'b0;
4739
4740
4741        w_result_sel_load_m <=  1'b0;
4742
4743
4744        w_result_sel_mul_m <=  1'b0;
4745
4746
4747        m_bypass_enable_m <=  1'b0;
4748        branch_m <=  1'b0;
4749        branch_predict_m <=  1'b0;
4750	branch_predict_taken_m <=  1'b0;
4751        exception_m <=  1'b0;
4752        load_m <=  1'b0;
4753        store_m <=  1'b0;
4754        write_enable_m <=  1'b0;
4755        write_idx_m <= { 5{1'b0}};
4756        condition_met_m <=  1'b0;
4757
4758
4759        dflush_m <=  1'b0;
4760
4761
4762
4763
4764
4765
4766
4767        operand_w <= { 32{1'b0}};
4768        w_result_sel_load_w <=  1'b0;
4769
4770
4771        w_result_sel_mul_w <=  1'b0;
4772
4773
4774        write_idx_w <= { 5{1'b0}};
4775        write_enable_w <=  1'b0;
4776
4777
4778
4779
4780
4781        exception_w <=  1'b0;
4782
4783
4784
4785
4786        memop_pc_w <= { (clogb2(32'h7fffffff-32'h0)-2){1'b0}};
4787
4788
4789    end
4790    else
4791    begin
4792
4793
4794        if (stall_x ==  1'b0)
4795        begin
4796
4797
4798
4799
4800            operand_0_x <= d_result_0;
4801            operand_1_x <= d_result_1;
4802            store_operand_x <= bypass_data_1;
4803            branch_target_x <= branch_reg_d ==  1'b1 ? bypass_data_0[ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] : branch_target_d;
4804            x_result_sel_csr_x <= x_result_sel_csr_d;
4805
4806
4807            x_result_sel_mc_arith_x <= x_result_sel_mc_arith_d;
4808
4809
4810
4811
4812
4813
4814
4815
4816            x_result_sel_sext_x <= x_result_sel_sext_d;
4817
4818
4819
4820
4821
4822
4823            x_result_sel_add_x <= x_result_sel_add_d;
4824            m_result_sel_compare_x <= m_result_sel_compare_d;
4825
4826
4827            m_result_sel_shift_x <= m_result_sel_shift_d;
4828
4829
4830            w_result_sel_load_x <= w_result_sel_load_d;
4831
4832
4833            w_result_sel_mul_x <= w_result_sel_mul_d;
4834
4835
4836            x_bypass_enable_x <= x_bypass_enable_d;
4837            m_bypass_enable_x <= m_bypass_enable_d;
4838            load_x <= load_d;
4839            store_x <= store_d;
4840            branch_x <= branch_d;
4841	    branch_predict_x <= branch_predict_d;
4842	    branch_predict_taken_x <= branch_predict_taken_d;
4843	    write_idx_x <= write_idx_d;
4844            csr_x <= csr_d;
4845            size_x <= size_d;
4846            sign_extend_x <= sign_extend_d;
4847            adder_op_x <= adder_op_d;
4848            adder_op_x_n <= ~adder_op_d;
4849            logic_op_x <= logic_op_d;
4850
4851
4852            direction_x <= direction_d;
4853
4854
4855
4856
4857
4858
4859            condition_x <= condition_d;
4860            csr_write_enable_x <= csr_write_enable_d;
4861
4862
4863
4864
4865            scall_x <= scall_d;
4866
4867
4868            bus_error_x <= bus_error_d;
4869
4870
4871            eret_x <= eret_d;
4872
4873
4874
4875
4876            write_enable_x <= write_enable_d;
4877        end
4878
4879
4880
4881        if (stall_m ==  1'b0)
4882        begin
4883            operand_m <= x_result;
4884            m_result_sel_compare_m <= m_result_sel_compare_x;
4885
4886
4887            m_result_sel_shift_m <= m_result_sel_shift_x;
4888
4889
4890            if (exception_x ==  1'b1)
4891            begin
4892                w_result_sel_load_m <=  1'b0;
4893
4894
4895                w_result_sel_mul_m <=  1'b0;
4896
4897
4898            end
4899            else
4900            begin
4901                w_result_sel_load_m <= w_result_sel_load_x;
4902
4903
4904                w_result_sel_mul_m <= w_result_sel_mul_x;
4905
4906
4907            end
4908            m_bypass_enable_m <= m_bypass_enable_x;
4909            load_m <= load_x;
4910            store_m <= store_x;
4911
4912
4913            branch_m <= branch_x && !branch_taken_x;
4914
4915
4916
4917
4918
4919
4920
4921
4922
4923
4924
4925
4926
4927
4928
4929
4930
4931
4932
4933
4934            if (exception_x ==  1'b1)
4935                write_idx_m <=  5'd30;
4936            else
4937                write_idx_m <= write_idx_x;
4938
4939
4940            condition_met_m <= condition_met_x;
4941
4942
4943
4944
4945
4946
4947
4948
4949
4950
4951
4952
4953            branch_target_m <= exception_x ==  1'b1 ? {eba, eid_x, {3{1'b0}}} : branch_target_x;
4954
4955
4956
4957
4958
4959
4960
4961
4962
4963            dflush_m <= dflush_x;
4964
4965
4966
4967
4968
4969
4970
4971
4972            write_enable_m <= exception_x ==  1'b1 ?  1'b1 : write_enable_x;
4973
4974
4975
4976
4977
4978        end
4979
4980
4981        if (stall_m ==  1'b0)
4982        begin
4983            if ((exception_x ==  1'b1) && (q_x ==  1'b1) && (stall_x ==  1'b0))
4984                exception_m <=  1'b1;
4985            else
4986                exception_m <=  1'b0;
4987
4988
4989	   data_bus_error_exception_m <=    (data_bus_error_exception ==  1'b1)
4990
4991
4992
4993
4994					 ;
4995
4996
4997	end
4998
4999
5000
5001
5002        operand_w <= exception_m ==  1'b1 ? (data_bus_error_exception_m ? {memop_pc_w, 2'b00} : {pc_m, 2'b00}) : m_result;
5003
5004
5005
5006
5007        w_result_sel_load_w <= w_result_sel_load_m;
5008
5009
5010        w_result_sel_mul_w <= w_result_sel_mul_m;
5011
5012
5013        write_idx_w <= write_idx_m;
5014
5015
5016
5017
5018
5019
5020
5021
5022        write_enable_w <= write_enable_m;
5023
5024
5025
5026
5027
5028        exception_w <= exception_m;
5029
5030
5031
5032
5033        if (   (stall_m ==  1'b0)
5034            && (   (load_q_m ==  1'b1)
5035                || (store_q_m ==  1'b1)
5036               )
5037	   )
5038          memop_pc_w <= pc_m;
5039
5040
5041    end
5042end
5043
5044
5045
5046
5047
5048always @(posedge clk_i  )
5049begin
5050    if (rst_i ==  1'b1)
5051    begin
5052        use_buf <=  1'b0;
5053        reg_data_buf_0 <= { 32{1'b0}};
5054        reg_data_buf_1 <= { 32{1'b0}};
5055    end
5056    else
5057    begin
5058        if (stall_d ==  1'b0)
5059            use_buf <=  1'b0;
5060        else if (use_buf ==  1'b0)
5061        begin
5062            reg_data_buf_0 <= reg_data_live_0;
5063            reg_data_buf_1 <= reg_data_live_1;
5064            use_buf <=  1'b1;
5065        end
5066        if (reg_write_enable_q_w ==  1'b1)
5067        begin
5068            if (write_idx_w == read_idx_0_d)
5069                reg_data_buf_0 <= w_result;
5070            if (write_idx_w == read_idx_1_d)
5071                reg_data_buf_1 <= w_result;
5072        end
5073    end
5074end
5075
5076
5077
5078
5079
5080
5081
5082
5083
5084
5085
5086
5087
5088
5089
5090
5091
5092
5093
5094
5095
5096
5097
5098
5099
5100
5101
5102
5103
5104
5105
5106
5107
5108
5109
5110
5111
5112
5113
5114
5115
5116
5117
5118
5119
5120
5121
5122
5123
5124
5125
5126
5127
5128
5129
5130
5131
5132
5133
5134
5135
5136
5137
5138
5139
5140
5141
5142
5143
5144
5145
5146
5147
5148
5149
5150
5151
5152
5153
5154
5155
5156
5157
5158
5159
5160
5161
5162
5163
5164
5165
5166
5167
5168
5169
5170
5171
5172
5173
5174
5175
5176
5177
5178
5179
5180
5181
5182
5183
5184
5185
5186
5187
5188
5189
5190
5191
5192
5193
5194
5195endmodule
5196
5197
5198
5199
5200
5201
5202
5203
5204
5205
5206
5207
5208
5209
5210
5211
5212
5213
5214
5215
5216
5217
5218
5219
5220
5221
5222
5223
5224
5225
5226
5227
5228
5229
5230
5231
5232
5233
5234
5235
5236
5237
5238
5239
5240
5241
5242
5243
5244
5245
5246
5247
5248
5249
5250
5251
5252
5253
5254
5255
5256
5257
5258
5259
5260
5261
5262
5263
5264
5265
5266
5267
5268
5269
5270
5271
5272
5273
5274
5275
5276
5277
5278
5279
5280
5281
5282
5283
5284
5285
5286
5287
5288
5289
5290
5291
5292
5293
5294
5295
5296
5297
5298
5299
5300
5301
5302
5303
5304
5305
5306
5307
5308
5309
5310
5311
5312
5313
5314
5315
5316
5317
5318
5319
5320
5321
5322
5323
5324
5325
5326
5327
5328
5329
5330
5331
5332
5333
5334
5335
5336
5337
5338
5339
5340
5341
5342
5343
5344
5345
5346
5347
5348
5349
5350
5351
5352
5353
5354
5355
5356
5357
5358
5359
5360
5361
5362
5363
5364
5365
5366
5367
5368
5369
5370
5371
5372
5373
5374
5375
5376
5377
5378
5379
5380
5381
5382
5383
5384
5385
5386
5387
5388
5389
5390
5391
5392
5393
5394
5395
5396
5397
5398
5399
5400
5401
5402
5403
5404
5405
5406
5407
5408
5409
5410
5411
5412
5413
5414
5415
5416
5417
5418
5419
5420
5421
5422
5423
5424
5425
5426
5427
5428
5429
5430
5431
5432
5433
5434
5435
5436
5437
5438
5439
5440
5441
5442
5443
5444
5445
5446
5447
5448
5449
5450
5451
5452
5453
5454
5455
5456
5457
5458
5459
5460
5461
5462
5463
5464
5465
5466
5467
5468
5469
5470
5471
5472
5473
5474
5475
5476
5477
5478
5479
5480
5481
5482
5483
5484
5485
5486
5487
5488
5489
5490
5491
5492
5493
5494
5495
5496
5497
5498
5499
5500
5501
5502
5503
5504
5505
5506
5507
5508
5509
5510
5511
5512
5513
5514
5515
5516
5517
5518
5519
5520
5521
5522
5523
5524
5525
5526
5527
5528
5529
5530
5531
5532
5533
5534
5535
5536
5537
5538
5539
5540
5541
5542
5543
5544
5545
5546
5547
5548
5549
5550
5551
5552
5553
5554
5555
5556
5557
5558
5559
5560
5561
5562
5563
5564
5565
5566
5567
5568
5569module lm32_load_store_unit_full
5570(
5571
5572    clk_i,
5573    rst_i,
5574
5575    stall_a,
5576    stall_x,
5577    stall_m,
5578    kill_x,
5579    kill_m,
5580    exception_m,
5581    store_operand_x,
5582    load_store_address_x,
5583    load_store_address_m,
5584    load_store_address_w,
5585    load_x,
5586    store_x,
5587    load_q_x,
5588    store_q_x,
5589    load_q_m,
5590    store_q_m,
5591    sign_extend_x,
5592    size_x,
5593
5594
5595    dflush,
5596
5597
5598
5599    d_dat_i,
5600    d_ack_i,
5601    d_err_i,
5602    d_rty_i,
5603
5604
5605
5606
5607    dcache_refill_request,
5608    dcache_restart_request,
5609    dcache_stall_request,
5610    dcache_refilling,
5611
5612
5613
5614
5615
5616
5617
5618
5619
5620
5621
5622
5623    load_data_w,
5624    stall_wb_load,
5625
5626    d_dat_o,
5627    d_adr_o,
5628    d_cyc_o,
5629    d_sel_o,
5630    d_stb_o,
5631    d_we_o,
5632    d_cti_o,
5633    d_lock_o,
5634    d_bte_o
5635    );
5636
5637
5638
5639
5640
5641parameter associativity = 1;
5642parameter sets = 512;
5643parameter bytes_per_line = 16;
5644parameter base_address = 0;
5645parameter limit = 0;
5646
5647
5648localparam addr_offset_width = bytes_per_line == 4 ? 1 : clogb2(bytes_per_line)-1-2;
5649localparam addr_offset_lsb = 2;
5650localparam addr_offset_msb = (addr_offset_lsb+addr_offset_width-1);
5651
5652
5653
5654
5655
5656   input clk_i;
5657
5658input rst_i;
5659
5660input stall_a;
5661input stall_x;
5662input stall_m;
5663input kill_x;
5664input kill_m;
5665input exception_m;
5666
5667input [ (32-1):0] store_operand_x;
5668input [ (32-1):0] load_store_address_x;
5669input [ (32-1):0] load_store_address_m;
5670input [1:0] load_store_address_w;
5671input load_x;
5672input store_x;
5673input load_q_x;
5674input store_q_x;
5675input load_q_m;
5676input store_q_m;
5677input sign_extend_x;
5678input [ 1:0] size_x;
5679
5680
5681
5682input dflush;
5683
5684
5685
5686
5687
5688
5689
5690
5691
5692
5693
5694
5695
5696
5697   reg 		 [31:0] iram_dat_d0;
5698   reg 		 iram_en_d0;
5699   wire 	 iram_en;
5700   wire [31:0] 	 iram_data;
5701
5702
5703
5704input [ (32-1):0] d_dat_i;
5705input d_ack_i;
5706input d_err_i;
5707input d_rty_i;
5708
5709
5710
5711
5712
5713
5714
5715output dcache_refill_request;
5716wire   dcache_refill_request;
5717output dcache_restart_request;
5718wire   dcache_restart_request;
5719output dcache_stall_request;
5720wire   dcache_stall_request;
5721output dcache_refilling;
5722wire   dcache_refilling;
5723
5724
5725
5726
5727output [ (32-1):0] load_data_w;
5728reg    [ (32-1):0] load_data_w;
5729output stall_wb_load;
5730reg    stall_wb_load;
5731
5732output [ (32-1):0] d_dat_o;
5733reg    [ (32-1):0] d_dat_o;
5734output [ (32-1):0] d_adr_o;
5735reg    [ (32-1):0] d_adr_o;
5736output d_cyc_o;
5737reg    d_cyc_o;
5738output [ (4-1):0] d_sel_o;
5739reg    [ (4-1):0] d_sel_o;
5740output d_stb_o;
5741reg    d_stb_o;
5742output d_we_o;
5743reg    d_we_o;
5744output [ (3-1):0] d_cti_o;
5745reg    [ (3-1):0] d_cti_o;
5746output d_lock_o;
5747reg    d_lock_o;
5748output [ (2-1):0] d_bte_o;
5749wire   [ (2-1):0] d_bte_o;
5750
5751
5752
5753
5754
5755
5756reg [ 1:0] size_m;
5757reg [ 1:0] size_w;
5758reg sign_extend_m;
5759reg sign_extend_w;
5760reg [ (32-1):0] store_data_x;
5761reg [ (32-1):0] store_data_m;
5762reg [ (4-1):0] byte_enable_x;
5763reg [ (4-1):0] byte_enable_m;
5764wire [ (32-1):0] data_m;
5765reg [ (32-1):0] data_w;
5766
5767
5768
5769
5770
5771wire dcache_select_x;
5772reg dcache_select_m;
5773wire [ (32-1):0] dcache_data_m;
5774wire [ (32-1):0] dcache_refill_address;
5775reg dcache_refill_ready;
5776wire [ (3-1):0] first_cycle_type;
5777wire [ (3-1):0] next_cycle_type;
5778wire last_word;
5779wire [ (32-1):0] first_address;
5780
5781
5782
5783
5784
5785
5786
5787
5788
5789
5790
5791
5792wire wb_select_x;
5793
5794
5795
5796
5797
5798
5799
5800
5801
5802
5803reg wb_select_m;
5804reg [ (32-1):0] wb_data_m;
5805reg wb_load_complete;
5806
5807
5808
5809
5810
5811
5812
5813
5814
5815
5816
5817
5818
5819
5820
5821
5822
5823
5824
5825
5826
5827
5828
5829
5830
5831
5832
5833
5834
5835
5836
5837
5838
5839
5840
5841function integer clogb2;
5842input [31:0] value;
5843begin
5844   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
5845        value = value >> 1;
5846end
5847endfunction
5848
5849function integer clogb2_v1;
5850input [31:0] value;
5851reg   [31:0] i;
5852reg   [31:0] temp;
5853begin
5854   temp = 0;
5855   i    = 0;
5856   for (i = 0; temp < value; i = i + 1)
5857	temp = 1<<i;
5858   clogb2_v1 = i-1;
5859end
5860endfunction
5861
5862
5863
5864
5865
5866
5867
5868
5869
5870
5871
5872
5873lm32_dcache_full #(
5874    .associativity          (associativity),
5875    .sets                   (sets),
5876    .bytes_per_line         (bytes_per_line),
5877    .base_address           (base_address),
5878    .limit                  (limit)
5879    ) dcache (
5880
5881    .clk_i                  (clk_i),
5882    .rst_i                  (rst_i),
5883    .stall_a                (stall_a),
5884    .stall_x                (stall_x),
5885    .stall_m                (stall_m),
5886    .address_x              (load_store_address_x),
5887    .address_m              (load_store_address_m),
5888    .load_q_m               (load_q_m & dcache_select_m),
5889    .store_q_m              (store_q_m & dcache_select_m),
5890    .store_data             (store_data_m),
5891    .store_byte_select      (byte_enable_m & {4{dcache_select_m}}),
5892    .refill_ready           (dcache_refill_ready),
5893    .refill_data            (wb_data_m),
5894    .dflush                 (dflush),
5895
5896    .stall_request          (dcache_stall_request),
5897    .restart_request        (dcache_restart_request),
5898    .refill_request         (dcache_refill_request),
5899    .refill_address         (dcache_refill_address),
5900    .refilling              (dcache_refilling),
5901    .load_data              (dcache_data_m)
5902    );
5903
5904
5905
5906
5907
5908
5909
5910
5911
5912
5913
5914
5915
5916
5917
5918
5919
5920
5921
5922
5923
5924
5925
5926
5927
5928
5929
5930
5931
5932
5933
5934
5935
5936
5937
5938
5939
5940
5941
5942
5943
5944
5945   assign dcache_select_x =    (load_store_address_x >=  32'h0)
5946                            && (load_store_address_x <=  32'h7fffffff)
5947
5948
5949
5950
5951
5952
5953
5954
5955                     ;
5956
5957
5958
5959   assign wb_select_x =     1'b1
5960
5961
5962                        && !dcache_select_x
5963
5964
5965
5966
5967
5968
5969
5970
5971
5972
5973                     ;
5974
5975
5976always @(*)
5977begin
5978    case (size_x)
5979     2'b00:  store_data_x = {4{store_operand_x[7:0]}};
5980     2'b11: store_data_x = {2{store_operand_x[15:0]}};
5981     2'b10:  store_data_x = store_operand_x;
5982    default:          store_data_x = { 32{1'bx}};
5983    endcase
5984end
5985
5986
5987always @(*)
5988begin
5989    casez ({size_x, load_store_address_x[1:0]})
5990    { 2'b00, 2'b11}:  byte_enable_x = 4'b0001;
5991    { 2'b00, 2'b10}:  byte_enable_x = 4'b0010;
5992    { 2'b00, 2'b01}:  byte_enable_x = 4'b0100;
5993    { 2'b00, 2'b00}:  byte_enable_x = 4'b1000;
5994    { 2'b11, 2'b1?}: byte_enable_x = 4'b0011;
5995    { 2'b11, 2'b0?}: byte_enable_x = 4'b1100;
5996    { 2'b10, 2'b??}:  byte_enable_x = 4'b1111;
5997    default:                   byte_enable_x = 4'bxxxx;
5998    endcase
5999end
6000
6001
6002
6003
6004
6005
6006
6007
6008
6009
6010
6011
6012
6013
6014
6015
6016
6017
6018
6019
6020
6021
6022
6023
6024
6025
6026
6027
6028
6029
6030
6031
6032
6033
6034
6035
6036
6037
6038
6039
6040
6041
6042
6043
6044
6045
6046   assign data_m = wb_select_m ==  1'b1
6047                   ? wb_data_m
6048                   : dcache_data_m;
6049
6050
6051
6052
6053
6054
6055
6056
6057
6058
6059
6060
6061
6062
6063
6064
6065
6066
6067
6068
6069
6070
6071
6072
6073
6074
6075
6076
6077
6078
6079
6080
6081
6082
6083
6084always @(*)
6085begin
6086    casez ({size_w, load_store_address_w[1:0]})
6087    { 2'b00, 2'b11}:  load_data_w = {{24{sign_extend_w & data_w[7]}}, data_w[7:0]};
6088    { 2'b00, 2'b10}:  load_data_w = {{24{sign_extend_w & data_w[15]}}, data_w[15:8]};
6089    { 2'b00, 2'b01}:  load_data_w = {{24{sign_extend_w & data_w[23]}}, data_w[23:16]};
6090    { 2'b00, 2'b00}:  load_data_w = {{24{sign_extend_w & data_w[31]}}, data_w[31:24]};
6091    { 2'b11, 2'b1?}: load_data_w = {{16{sign_extend_w & data_w[15]}}, data_w[15:0]};
6092    { 2'b11, 2'b0?}: load_data_w = {{16{sign_extend_w & data_w[31]}}, data_w[31:16]};
6093    { 2'b10, 2'b??}:  load_data_w = data_w;
6094    default:                   load_data_w = { 32{1'bx}};
6095    endcase
6096end
6097
6098
6099assign d_bte_o =  2'b00;
6100
6101
6102
6103
6104generate
6105    case (bytes_per_line)
6106    4:
6107    begin
6108assign first_cycle_type =  3'b111;
6109assign next_cycle_type =  3'b111;
6110assign last_word =  1'b1;
6111assign first_address = {dcache_refill_address[ 32-1:2], 2'b00};
6112    end
6113    8:
6114    begin
6115assign first_cycle_type =  3'b010;
6116assign next_cycle_type =  3'b111;
6117assign last_word = (&d_adr_o[addr_offset_msb:addr_offset_lsb]) == 1'b1;
6118assign first_address = {dcache_refill_address[ 32-1:addr_offset_msb+1], {addr_offset_width{1'b0}}, 2'b00};
6119    end
6120    16:
6121    begin
6122assign first_cycle_type =  3'b010;
6123assign next_cycle_type = d_adr_o[addr_offset_msb] == 1'b1 ?  3'b111 :  3'b010;
6124assign last_word = (&d_adr_o[addr_offset_msb:addr_offset_lsb]) == 1'b1;
6125assign first_address = {dcache_refill_address[ 32-1:addr_offset_msb+1], {addr_offset_width{1'b0}}, 2'b00};
6126    end
6127    endcase
6128endgenerate
6129
6130
6131
6132
6133
6134
6135
6136
6137always @(posedge clk_i  )
6138begin
6139    if (rst_i ==  1'b1)
6140    begin
6141        d_cyc_o <=  1'b0;
6142        d_stb_o <=  1'b0;
6143        d_dat_o <= { 32{1'b0}};
6144        d_adr_o <= { 32{1'b0}};
6145        d_sel_o <= { 4{ 1'b0}};
6146        d_we_o <=  1'b0;
6147        d_cti_o <=  3'b111;
6148        d_lock_o <=  1'b0;
6149        wb_data_m <= { 32{1'b0}};
6150        wb_load_complete <=  1'b0;
6151        stall_wb_load <=  1'b0;
6152
6153
6154        dcache_refill_ready <=  1'b0;
6155
6156
6157    end
6158    else
6159    begin
6160
6161
6162
6163        dcache_refill_ready <=  1'b0;
6164
6165
6166
6167        if (d_cyc_o ==  1'b1)
6168        begin
6169
6170            if ((d_ack_i ==  1'b1) || (d_err_i ==  1'b1))
6171            begin
6172
6173
6174                if ((dcache_refilling ==  1'b1) && (!last_word))
6175                begin
6176
6177                    d_adr_o[addr_offset_msb:addr_offset_lsb] <= d_adr_o[addr_offset_msb:addr_offset_lsb] + 1'b1;
6178                end
6179                else
6180
6181
6182                begin
6183
6184                    d_cyc_o <=  1'b0;
6185                    d_stb_o <=  1'b0;
6186                    d_lock_o <=  1'b0;
6187                end
6188
6189
6190                d_cti_o <= next_cycle_type;
6191
6192                dcache_refill_ready <= dcache_refilling;
6193
6194
6195
6196                wb_data_m <= d_dat_i;
6197
6198                wb_load_complete <= !d_we_o;
6199            end
6200
6201        end
6202        else
6203        begin
6204
6205
6206            if (dcache_refill_request ==  1'b1)
6207            begin
6208
6209                d_adr_o <= first_address;
6210                d_cyc_o <=  1'b1;
6211                d_sel_o <= { 32/8{ 1'b1}};
6212                d_stb_o <=  1'b1;
6213                d_we_o <=  1'b0;
6214                d_cti_o <= first_cycle_type;
6215
6216            end
6217            else
6218
6219
6220                 if (   (store_q_m ==  1'b1)
6221                     && (stall_m ==  1'b0)
6222
6223
6224
6225
6226
6227
6228
6229
6230                    )
6231            begin
6232
6233                d_dat_o <= store_data_m;
6234                d_adr_o <= load_store_address_m;
6235                d_cyc_o <=  1'b1;
6236                d_sel_o <= byte_enable_m;
6237                d_stb_o <=  1'b1;
6238                d_we_o <=  1'b1;
6239                d_cti_o <=  3'b111;
6240            end
6241            else if (   (load_q_m ==  1'b1)
6242                     && (wb_select_m ==  1'b1)
6243                     && (wb_load_complete ==  1'b0)
6244
6245                    )
6246            begin
6247
6248                stall_wb_load <=  1'b0;
6249                d_adr_o <= load_store_address_m;
6250                d_cyc_o <=  1'b1;
6251                d_sel_o <= byte_enable_m;
6252                d_stb_o <=  1'b1;
6253                d_we_o <=  1'b0;
6254                d_cti_o <=  3'b111;
6255            end
6256        end
6257
6258        if (stall_m ==  1'b0)
6259            wb_load_complete <=  1'b0;
6260
6261        if ((load_q_x ==  1'b1) && (wb_select_x ==  1'b1) && (stall_x ==  1'b0))
6262            stall_wb_load <=  1'b1;
6263
6264        if ((kill_m ==  1'b1) || (exception_m ==  1'b1))
6265            stall_wb_load <=  1'b0;
6266    end
6267end
6268
6269
6270
6271
6272always @(posedge clk_i  )
6273begin
6274    if (rst_i ==  1'b1)
6275    begin
6276        sign_extend_m <=  1'b0;
6277        size_m <= 2'b00;
6278        byte_enable_m <=  1'b0;
6279        store_data_m <= { 32{1'b0}};
6280
6281
6282        dcache_select_m <=  1'b0;
6283
6284
6285
6286
6287
6288
6289
6290
6291
6292
6293
6294        wb_select_m <=  1'b0;
6295    end
6296    else
6297    begin
6298        if (stall_m ==  1'b0)
6299        begin
6300            sign_extend_m <= sign_extend_x;
6301            size_m <= size_x;
6302            byte_enable_m <= byte_enable_x;
6303            store_data_m <= store_data_x;
6304
6305
6306            dcache_select_m <= dcache_select_x;
6307
6308
6309
6310
6311
6312
6313
6314
6315
6316
6317
6318            wb_select_m <= wb_select_x;
6319        end
6320    end
6321end
6322
6323
6324always @(posedge clk_i  )
6325begin
6326    if (rst_i ==  1'b1)
6327    begin
6328        size_w <= 2'b00;
6329        data_w <= { 32{1'b0}};
6330        sign_extend_w <=  1'b0;
6331    end
6332    else
6333    begin
6334        size_w <= size_m;
6335
6336
6337
6338
6339
6340        data_w <= data_m;
6341
6342        sign_extend_w <= sign_extend_m;
6343    end
6344end
6345
6346
6347
6348
6349
6350
6351
6352endmodule
6353
6354
6355
6356
6357
6358
6359
6360
6361
6362
6363
6364
6365
6366
6367
6368
6369
6370
6371
6372
6373
6374
6375
6376
6377
6378
6379
6380
6381
6382
6383
6384
6385
6386
6387
6388
6389
6390
6391
6392
6393
6394
6395
6396
6397
6398
6399
6400
6401
6402
6403
6404
6405
6406
6407
6408
6409
6410
6411
6412
6413
6414
6415
6416
6417
6418
6419
6420
6421
6422
6423
6424
6425
6426
6427
6428
6429
6430
6431
6432
6433
6434
6435
6436
6437
6438
6439
6440
6441
6442
6443
6444
6445
6446
6447
6448
6449
6450
6451
6452
6453
6454
6455
6456
6457
6458
6459
6460
6461
6462
6463
6464
6465
6466
6467
6468
6469
6470
6471
6472
6473
6474
6475
6476
6477
6478
6479
6480
6481
6482
6483
6484
6485
6486
6487
6488
6489
6490
6491
6492
6493
6494
6495
6496
6497
6498
6499
6500
6501
6502
6503
6504
6505
6506
6507
6508
6509
6510
6511
6512
6513
6514
6515
6516
6517
6518
6519
6520
6521
6522
6523
6524
6525
6526
6527
6528
6529
6530
6531
6532
6533
6534
6535
6536
6537
6538
6539
6540
6541
6542
6543
6544
6545
6546
6547
6548
6549
6550
6551
6552
6553
6554
6555
6556
6557
6558
6559
6560
6561
6562
6563
6564
6565
6566
6567
6568
6569
6570
6571
6572
6573
6574
6575
6576
6577
6578
6579
6580
6581
6582
6583
6584
6585
6586
6587
6588
6589
6590
6591
6592
6593
6594
6595
6596
6597
6598
6599
6600
6601
6602
6603
6604
6605
6606
6607
6608
6609
6610
6611
6612
6613
6614
6615
6616
6617
6618
6619
6620
6621
6622
6623
6624
6625
6626
6627
6628
6629
6630
6631
6632
6633
6634
6635
6636
6637
6638
6639
6640
6641
6642
6643
6644
6645
6646
6647
6648
6649
6650
6651
6652
6653
6654
6655
6656
6657
6658
6659
6660
6661
6662
6663
6664
6665
6666
6667
6668
6669
6670
6671
6672
6673
6674
6675
6676
6677
6678
6679
6680
6681
6682
6683
6684
6685
6686
6687
6688
6689
6690
6691
6692
6693
6694
6695
6696
6697
6698
6699
6700
6701
6702
6703
6704
6705
6706
6707
6708
6709
6710
6711
6712
6713
6714
6715
6716
6717
6718
6719
6720
6721
6722
6723
6724
6725
6726
6727
6728
6729
6730
6731
6732
6733
6734
6735
6736
6737
6738
6739
6740
6741
6742
6743
6744
6745
6746
6747
6748
6749
6750
6751
6752
6753
6754
6755
6756
6757
6758
6759
6760
6761
6762
6763
6764
6765
6766
6767
6768
6769
6770
6771
6772
6773
6774
6775
6776
6777
6778
6779
6780
6781
6782
6783
6784
6785
6786
6787
6788
6789
6790
6791
6792
6793
6794
6795
6796
6797
6798
6799
6800
6801
6802
6803
6804
6805
6806
6807
6808
6809
6810
6811
6812
6813
6814
6815
6816
6817module lm32_decoder_full (
6818
6819    instruction,
6820
6821    d_result_sel_0,
6822    d_result_sel_1,
6823    x_result_sel_csr,
6824
6825
6826    x_result_sel_mc_arith,
6827
6828
6829
6830
6831
6832
6833
6834
6835    x_result_sel_sext,
6836
6837
6838    x_result_sel_logic,
6839
6840
6841
6842
6843    x_result_sel_add,
6844    m_result_sel_compare,
6845
6846
6847    m_result_sel_shift,
6848
6849
6850    w_result_sel_load,
6851
6852
6853    w_result_sel_mul,
6854
6855
6856    x_bypass_enable,
6857    m_bypass_enable,
6858    read_enable_0,
6859    read_idx_0,
6860    read_enable_1,
6861    read_idx_1,
6862    write_enable,
6863    write_idx,
6864    immediate,
6865    branch_offset,
6866    load,
6867    store,
6868    size,
6869    sign_extend,
6870    adder_op,
6871    logic_op,
6872
6873
6874    direction,
6875
6876
6877
6878
6879
6880
6881
6882
6883
6884
6885
6886
6887
6888    divide,
6889    modulus,
6890
6891
6892    branch,
6893    branch_reg,
6894    condition,
6895    bi_conditional,
6896    bi_unconditional,
6897
6898
6899
6900
6901    scall,
6902    eret,
6903
6904
6905
6906
6907
6908
6909
6910
6911    csr_write_enable
6912    );
6913
6914
6915
6916
6917
6918input [ (32-1):0] instruction;
6919
6920
6921
6922
6923
6924output [ 0:0] d_result_sel_0;
6925reg    [ 0:0] d_result_sel_0;
6926output [ 1:0] d_result_sel_1;
6927reg    [ 1:0] d_result_sel_1;
6928output x_result_sel_csr;
6929reg    x_result_sel_csr;
6930
6931
6932output x_result_sel_mc_arith;
6933reg    x_result_sel_mc_arith;
6934
6935
6936
6937
6938
6939
6940
6941
6942
6943output x_result_sel_sext;
6944reg    x_result_sel_sext;
6945
6946
6947output x_result_sel_logic;
6948reg    x_result_sel_logic;
6949
6950
6951
6952
6953
6954output x_result_sel_add;
6955reg    x_result_sel_add;
6956output m_result_sel_compare;
6957reg    m_result_sel_compare;
6958
6959
6960output m_result_sel_shift;
6961reg    m_result_sel_shift;
6962
6963
6964output w_result_sel_load;
6965reg    w_result_sel_load;
6966
6967
6968output w_result_sel_mul;
6969reg    w_result_sel_mul;
6970
6971
6972output x_bypass_enable;
6973wire   x_bypass_enable;
6974output m_bypass_enable;
6975wire   m_bypass_enable;
6976output read_enable_0;
6977wire   read_enable_0;
6978output [ (5-1):0] read_idx_0;
6979wire   [ (5-1):0] read_idx_0;
6980output read_enable_1;
6981wire   read_enable_1;
6982output [ (5-1):0] read_idx_1;
6983wire   [ (5-1):0] read_idx_1;
6984output write_enable;
6985wire   write_enable;
6986output [ (5-1):0] write_idx;
6987wire   [ (5-1):0] write_idx;
6988output [ (32-1):0] immediate;
6989wire   [ (32-1):0] immediate;
6990output [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_offset;
6991wire   [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_offset;
6992output load;
6993wire   load;
6994output store;
6995wire   store;
6996output [ 1:0] size;
6997wire   [ 1:0] size;
6998output sign_extend;
6999wire   sign_extend;
7000output adder_op;
7001wire   adder_op;
7002output [ 3:0] logic_op;
7003wire   [ 3:0] logic_op;
7004
7005
7006output direction;
7007wire   direction;
7008
7009
7010
7011
7012
7013
7014
7015
7016
7017
7018
7019
7020
7021
7022
7023
7024output divide;
7025wire   divide;
7026output modulus;
7027wire   modulus;
7028
7029
7030output branch;
7031wire   branch;
7032output branch_reg;
7033wire   branch_reg;
7034output [ (3-1):0] condition;
7035wire   [ (3-1):0] condition;
7036output bi_conditional;
7037wire bi_conditional;
7038output bi_unconditional;
7039wire bi_unconditional;
7040
7041
7042
7043
7044
7045output scall;
7046wire   scall;
7047output eret;
7048wire   eret;
7049
7050
7051
7052
7053
7054
7055
7056
7057
7058
7059output csr_write_enable;
7060wire   csr_write_enable;
7061
7062
7063
7064
7065
7066wire [ (32-1):0] extended_immediate;
7067wire [ (32-1):0] high_immediate;
7068wire [ (32-1):0] call_immediate;
7069wire [ (32-1):0] branch_immediate;
7070wire sign_extend_immediate;
7071wire select_high_immediate;
7072wire select_call_immediate;
7073
7074wire op_add;
7075wire op_and;
7076wire op_andhi;
7077wire op_b;
7078wire op_bi;
7079wire op_be;
7080wire op_bg;
7081wire op_bge;
7082wire op_bgeu;
7083wire op_bgu;
7084wire op_bne;
7085wire op_call;
7086wire op_calli;
7087wire op_cmpe;
7088wire op_cmpg;
7089wire op_cmpge;
7090wire op_cmpgeu;
7091wire op_cmpgu;
7092wire op_cmpne;
7093
7094
7095wire op_divu;
7096
7097
7098wire op_lb;
7099wire op_lbu;
7100wire op_lh;
7101wire op_lhu;
7102wire op_lw;
7103
7104
7105wire op_modu;
7106
7107
7108
7109
7110wire op_mul;
7111
7112
7113wire op_nor;
7114wire op_or;
7115wire op_orhi;
7116wire op_raise;
7117wire op_rcsr;
7118wire op_sb;
7119
7120
7121wire op_sextb;
7122wire op_sexth;
7123
7124
7125wire op_sh;
7126
7127
7128wire op_sl;
7129
7130
7131wire op_sr;
7132wire op_sru;
7133wire op_sub;
7134wire op_sw;
7135
7136
7137
7138
7139wire op_wcsr;
7140wire op_xnor;
7141wire op_xor;
7142
7143wire arith;
7144wire logical;
7145wire cmp;
7146wire bra;
7147wire call;
7148
7149
7150wire shift;
7151
7152
7153
7154
7155
7156
7157
7158
7159wire sext;
7160
7161
7162
7163
7164
7165
7166
7167
7168
7169
7170
7171
7172
7173
7174
7175
7176
7177
7178
7179
7180
7181
7182
7183
7184
7185
7186
7187
7188
7189
7190
7191
7192
7193
7194
7195
7196
7197function integer clogb2;
7198input [31:0] value;
7199begin
7200   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
7201        value = value >> 1;
7202end
7203endfunction
7204
7205function integer clogb2_v1;
7206input [31:0] value;
7207reg   [31:0] i;
7208reg   [31:0] temp;
7209begin
7210   temp = 0;
7211   i    = 0;
7212   for (i = 0; temp < value; i = i + 1)
7213	temp = 1<<i;
7214   clogb2_v1 = i-1;
7215end
7216endfunction
7217
7218
7219
7220
7221
7222
7223
7224
7225
7226assign op_add    = instruction[ 30:26] ==  5'b01101;
7227assign op_and    = instruction[ 30:26] ==  5'b01000;
7228assign op_andhi  = instruction[ 31:26] ==  6'b011000;
7229assign op_b      = instruction[ 31:26] ==  6'b110000;
7230assign op_bi     = instruction[ 31:26] ==  6'b111000;
7231assign op_be     = instruction[ 31:26] ==  6'b010001;
7232assign op_bg     = instruction[ 31:26] ==  6'b010010;
7233assign op_bge    = instruction[ 31:26] ==  6'b010011;
7234assign op_bgeu   = instruction[ 31:26] ==  6'b010100;
7235assign op_bgu    = instruction[ 31:26] ==  6'b010101;
7236assign op_bne    = instruction[ 31:26] ==  6'b010111;
7237assign op_call   = instruction[ 31:26] ==  6'b110110;
7238assign op_calli  = instruction[ 31:26] ==  6'b111110;
7239assign op_cmpe   = instruction[ 30:26] ==  5'b11001;
7240assign op_cmpg   = instruction[ 30:26] ==  5'b11010;
7241assign op_cmpge  = instruction[ 30:26] ==  5'b11011;
7242assign op_cmpgeu = instruction[ 30:26] ==  5'b11100;
7243assign op_cmpgu  = instruction[ 30:26] ==  5'b11101;
7244assign op_cmpne  = instruction[ 30:26] ==  5'b11111;
7245
7246
7247assign op_divu   = instruction[ 31:26] ==  6'b100011;
7248
7249
7250assign op_lb     = instruction[ 31:26] ==  6'b000100;
7251assign op_lbu    = instruction[ 31:26] ==  6'b010000;
7252assign op_lh     = instruction[ 31:26] ==  6'b000111;
7253assign op_lhu    = instruction[ 31:26] ==  6'b001011;
7254assign op_lw     = instruction[ 31:26] ==  6'b001010;
7255
7256
7257assign op_modu   = instruction[ 31:26] ==  6'b110001;
7258
7259
7260
7261
7262assign op_mul    = instruction[ 30:26] ==  5'b00010;
7263
7264
7265assign op_nor    = instruction[ 30:26] ==  5'b00001;
7266assign op_or     = instruction[ 30:26] ==  5'b01110;
7267assign op_orhi   = instruction[ 31:26] ==  6'b011110;
7268assign op_raise  = instruction[ 31:26] ==  6'b101011;
7269assign op_rcsr   = instruction[ 31:26] ==  6'b100100;
7270assign op_sb     = instruction[ 31:26] ==  6'b001100;
7271
7272
7273assign op_sextb  = instruction[ 31:26] ==  6'b101100;
7274assign op_sexth  = instruction[ 31:26] ==  6'b110111;
7275
7276
7277assign op_sh     = instruction[ 31:26] ==  6'b000011;
7278
7279
7280assign op_sl     = instruction[ 30:26] ==  5'b01111;
7281
7282
7283assign op_sr     = instruction[ 30:26] ==  5'b00101;
7284assign op_sru    = instruction[ 30:26] ==  5'b00000;
7285assign op_sub    = instruction[ 31:26] ==  6'b110010;
7286assign op_sw     = instruction[ 31:26] ==  6'b010110;
7287
7288
7289
7290
7291assign op_wcsr   = instruction[ 31:26] ==  6'b110100;
7292assign op_xnor   = instruction[ 30:26] ==  5'b01001;
7293assign op_xor    = instruction[ 30:26] ==  5'b00110;
7294
7295
7296assign arith = op_add | op_sub;
7297assign logical = op_and | op_andhi | op_nor | op_or | op_orhi | op_xor | op_xnor;
7298assign cmp = op_cmpe | op_cmpg | op_cmpge | op_cmpgeu | op_cmpgu | op_cmpne;
7299assign bi_conditional = op_be | op_bg | op_bge | op_bgeu  | op_bgu | op_bne;
7300assign bi_unconditional = op_bi;
7301assign bra = op_b | bi_unconditional | bi_conditional;
7302assign call = op_call | op_calli;
7303
7304
7305assign shift = op_sl | op_sr | op_sru;
7306
7307
7308
7309
7310
7311
7312
7313
7314
7315
7316
7317
7318
7319assign sext = op_sextb | op_sexth;
7320
7321
7322
7323
7324
7325
7326
7327
7328assign divide = op_divu;
7329assign modulus = op_modu;
7330
7331
7332assign load = op_lb | op_lbu | op_lh | op_lhu | op_lw;
7333assign store = op_sb | op_sh | op_sw;
7334
7335
7336always @(*)
7337begin
7338
7339    if (call)
7340        d_result_sel_0 =  1'b1;
7341    else
7342        d_result_sel_0 =  1'b0;
7343    if (call)
7344        d_result_sel_1 =  2'b00;
7345    else if ((instruction[31] == 1'b0) && !bra)
7346        d_result_sel_1 =  2'b10;
7347    else
7348        d_result_sel_1 =  2'b01;
7349
7350    x_result_sel_csr =  1'b0;
7351
7352
7353    x_result_sel_mc_arith =  1'b0;
7354
7355
7356
7357
7358
7359
7360
7361
7362    x_result_sel_sext =  1'b0;
7363
7364
7365    x_result_sel_logic =  1'b0;
7366
7367
7368
7369
7370    x_result_sel_add =  1'b0;
7371    if (op_rcsr)
7372        x_result_sel_csr =  1'b1;
7373
7374
7375
7376
7377
7378
7379
7380
7381
7382    else if (divide | modulus)
7383        x_result_sel_mc_arith =  1'b1;
7384
7385
7386
7387
7388
7389
7390
7391
7392
7393
7394
7395
7396
7397
7398
7399
7400    else if (sext)
7401        x_result_sel_sext =  1'b1;
7402
7403
7404    else if (logical)
7405        x_result_sel_logic =  1'b1;
7406
7407
7408
7409
7410
7411    else
7412        x_result_sel_add =  1'b1;
7413
7414
7415
7416    m_result_sel_compare = cmp;
7417
7418
7419    m_result_sel_shift = shift;
7420
7421
7422
7423
7424    w_result_sel_load = load;
7425
7426
7427    w_result_sel_mul = op_mul;
7428
7429
7430end
7431
7432
7433assign x_bypass_enable =  arith
7434                        | logical
7435
7436
7437
7438
7439
7440
7441
7442
7443
7444
7445
7446                        | divide
7447                        | modulus
7448
7449
7450
7451
7452
7453
7454
7455
7456                        | sext
7457
7458
7459
7460
7461
7462
7463                        | op_rcsr
7464                        ;
7465
7466assign m_bypass_enable = x_bypass_enable
7467
7468
7469                        | shift
7470
7471
7472                        | cmp
7473                        ;
7474
7475assign read_enable_0 = ~(op_bi | op_calli);
7476assign read_idx_0 = instruction[25:21];
7477
7478assign read_enable_1 = ~(op_bi | op_calli | load);
7479assign read_idx_1 = instruction[20:16];
7480
7481assign write_enable = ~(bra | op_raise | store | op_wcsr);
7482assign write_idx = call
7483                    ? 5'd29
7484                    : instruction[31] == 1'b0
7485                        ? instruction[20:16]
7486                        : instruction[15:11];
7487
7488
7489assign size = instruction[27:26];
7490
7491assign sign_extend = instruction[28];
7492
7493assign adder_op = op_sub | op_cmpe | op_cmpg | op_cmpge | op_cmpgeu | op_cmpgu | op_cmpne | bra;
7494
7495assign logic_op = instruction[29:26];
7496
7497
7498
7499assign direction = instruction[29];
7500
7501
7502
7503assign branch = bra | call;
7504assign branch_reg = op_call | op_b;
7505assign condition = instruction[28:26];
7506
7507
7508
7509
7510assign scall = op_raise & instruction[2];
7511assign eret = op_b & (instruction[25:21] == 5'd30);
7512
7513
7514
7515
7516
7517
7518
7519
7520
7521
7522assign csr_write_enable = op_wcsr;
7523
7524
7525
7526assign sign_extend_immediate = ~(op_and | op_cmpgeu | op_cmpgu | op_nor | op_or | op_xnor | op_xor);
7527assign select_high_immediate = op_andhi | op_orhi;
7528assign select_call_immediate = instruction[31];
7529
7530assign high_immediate = {instruction[15:0], 16'h0000};
7531assign extended_immediate = {{16{sign_extend_immediate & instruction[15]}}, instruction[15:0]};
7532assign call_immediate = {{6{instruction[25]}}, instruction[25:0]};
7533assign branch_immediate = {{16{instruction[15]}}, instruction[15:0]};
7534
7535assign immediate = select_high_immediate ==  1'b1
7536                        ? high_immediate
7537                        : extended_immediate;
7538
7539assign branch_offset = select_call_immediate ==  1'b1
7540                        ? (call_immediate[ (clogb2(32'h7fffffff-32'h0)-2)-1:0])
7541                        : (branch_immediate[ (clogb2(32'h7fffffff-32'h0)-2)-1:0]);
7542
7543endmodule
7544
7545
7546
7547
7548
7549
7550
7551
7552
7553
7554
7555
7556
7557
7558
7559
7560
7561
7562
7563
7564
7565
7566
7567
7568
7569
7570
7571
7572
7573
7574
7575
7576
7577
7578
7579
7580
7581
7582
7583
7584
7585
7586
7587
7588
7589
7590
7591
7592
7593
7594
7595
7596
7597
7598
7599
7600
7601
7602
7603
7604
7605
7606
7607
7608
7609
7610
7611
7612
7613
7614
7615
7616
7617
7618
7619
7620
7621
7622
7623
7624
7625
7626
7627
7628
7629
7630
7631
7632
7633
7634
7635
7636
7637
7638
7639
7640
7641
7642
7643
7644
7645
7646
7647
7648
7649
7650
7651
7652
7653
7654
7655
7656
7657
7658
7659
7660
7661
7662
7663
7664
7665
7666
7667
7668
7669
7670
7671
7672
7673
7674
7675
7676
7677
7678
7679
7680
7681
7682
7683
7684
7685
7686
7687
7688
7689
7690
7691
7692
7693
7694
7695
7696
7697
7698
7699
7700
7701
7702
7703
7704
7705
7706
7707
7708
7709
7710
7711
7712
7713
7714
7715
7716
7717
7718
7719
7720
7721
7722
7723
7724
7725
7726
7727
7728
7729
7730
7731
7732
7733
7734
7735
7736
7737
7738
7739
7740
7741
7742
7743
7744
7745
7746
7747
7748
7749
7750
7751
7752
7753
7754
7755
7756
7757
7758
7759
7760
7761
7762
7763
7764
7765
7766
7767
7768
7769
7770
7771
7772
7773
7774
7775
7776
7777
7778
7779
7780
7781
7782
7783
7784
7785
7786
7787
7788
7789
7790
7791
7792
7793
7794
7795
7796
7797
7798
7799
7800
7801
7802
7803
7804
7805
7806
7807
7808
7809
7810
7811
7812
7813
7814
7815
7816
7817
7818
7819
7820
7821
7822
7823
7824
7825
7826
7827
7828
7829
7830
7831
7832
7833
7834
7835
7836
7837
7838
7839
7840
7841
7842
7843
7844
7845
7846
7847
7848
7849
7850
7851
7852
7853
7854
7855
7856
7857
7858
7859
7860
7861
7862
7863
7864
7865
7866
7867
7868
7869
7870
7871
7872
7873
7874
7875
7876
7877
7878
7879
7880
7881
7882
7883
7884
7885
7886
7887
7888
7889
7890
7891
7892
7893
7894
7895
7896
7897
7898
7899
7900
7901
7902
7903
7904
7905
7906
7907
7908
7909
7910
7911
7912
7913
7914
7915
7916
7917
7918
7919
7920
7921
7922
7923
7924
7925
7926
7927
7928
7929
7930
7931
7932
7933
7934
7935
7936
7937
7938
7939
7940
7941
7942
7943
7944
7945
7946
7947
7948
7949
7950
7951
7952
7953module lm32_icache_full (
7954
7955    clk_i,
7956    rst_i,
7957    stall_a,
7958    stall_f,
7959    address_a,
7960    address_f,
7961    read_enable_f,
7962    refill_ready,
7963    refill_data,
7964    iflush,
7965
7966
7967
7968
7969    valid_d,
7970    branch_predict_taken_d,
7971
7972    stall_request,
7973    restart_request,
7974    refill_request,
7975    refill_address,
7976    refilling,
7977    inst
7978    );
7979
7980
7981
7982
7983
7984parameter associativity = 1;
7985parameter sets = 512;
7986parameter bytes_per_line = 16;
7987parameter base_address = 0;
7988parameter limit = 0;
7989
7990localparam addr_offset_width = clogb2(bytes_per_line)-1-2;
7991localparam addr_set_width = clogb2(sets)-1;
7992localparam addr_offset_lsb = 2;
7993localparam addr_offset_msb = (addr_offset_lsb+addr_offset_width-1);
7994localparam addr_set_lsb = (addr_offset_msb+1);
7995localparam addr_set_msb = (addr_set_lsb+addr_set_width-1);
7996localparam addr_tag_lsb = (addr_set_msb+1);
7997localparam addr_tag_msb = clogb2( 32'h7fffffff- 32'h0)-1;
7998localparam addr_tag_width = (addr_tag_msb-addr_tag_lsb+1);
7999
8000
8001
8002
8003
8004input clk_i;
8005input rst_i;
8006
8007input stall_a;
8008input stall_f;
8009
8010input valid_d;
8011input branch_predict_taken_d;
8012
8013input [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] address_a;
8014input [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] address_f;
8015input read_enable_f;
8016
8017input refill_ready;
8018input [ (32-1):0] refill_data;
8019
8020input iflush;
8021
8022
8023
8024
8025
8026
8027
8028
8029
8030output stall_request;
8031wire   stall_request;
8032output restart_request;
8033reg    restart_request;
8034output refill_request;
8035wire   refill_request;
8036output [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] refill_address;
8037reg    [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] refill_address;
8038output refilling;
8039reg    refilling;
8040output [ (32-1):0] inst;
8041wire   [ (32-1):0] inst;
8042
8043
8044
8045
8046
8047wire enable;
8048wire [0:associativity-1] way_mem_we;
8049wire [ (32-1):0] way_data[0:associativity-1];
8050wire [ ((addr_tag_width+1)-1):1] way_tag[0:associativity-1];
8051wire [0:associativity-1] way_valid;
8052wire [0:associativity-1] way_match;
8053wire miss;
8054
8055wire [ (addr_set_width-1):0] tmem_read_address;
8056wire [ (addr_set_width-1):0] tmem_write_address;
8057wire [ ((addr_offset_width+addr_set_width)-1):0] dmem_read_address;
8058wire [ ((addr_offset_width+addr_set_width)-1):0] dmem_write_address;
8059wire [ ((addr_tag_width+1)-1):0] tmem_write_data;
8060
8061reg [ 3:0] state;
8062wire flushing;
8063wire check;
8064wire refill;
8065
8066reg [associativity-1:0] refill_way_select;
8067reg [ addr_offset_msb:addr_offset_lsb] refill_offset;
8068wire last_refill;
8069reg [ (addr_set_width-1):0] flush_set;
8070
8071genvar i;
8072
8073
8074
8075
8076
8077
8078
8079
8080
8081
8082
8083
8084
8085
8086
8087
8088
8089
8090
8091
8092
8093
8094
8095
8096
8097
8098
8099
8100
8101
8102
8103
8104
8105
8106
8107function integer clogb2;
8108input [31:0] value;
8109begin
8110   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
8111        value = value >> 1;
8112end
8113endfunction
8114
8115function integer clogb2_v1;
8116input [31:0] value;
8117reg   [31:0] i;
8118reg   [31:0] temp;
8119begin
8120   temp = 0;
8121   i    = 0;
8122   for (i = 0; temp < value; i = i + 1)
8123	temp = 1<<i;
8124   clogb2_v1 = i-1;
8125end
8126endfunction
8127
8128
8129
8130
8131
8132
8133
8134
8135   generate
8136      for (i = 0; i < associativity; i = i + 1)
8137	begin : memories
8138
8139	   lm32_ram
8140	     #(
8141
8142	       .data_width                 (32),
8143	       .address_width              ( (addr_offset_width+addr_set_width))
8144
8145)
8146	   way_0_data_ram
8147	     (
8148
8149	      .read_clk                   (clk_i),
8150	      .write_clk                  (clk_i),
8151	      .reset                      (rst_i),
8152	      .read_address               (dmem_read_address),
8153	      .enable_read                (enable),
8154	      .write_address              (dmem_write_address),
8155	      .enable_write               ( 1'b1),
8156	      .write_enable               (way_mem_we[i]),
8157	      .write_data                 (refill_data),
8158
8159	      .read_data                  (way_data[i])
8160	      );
8161
8162	   lm32_ram
8163	     #(
8164
8165	       .data_width                 ( (addr_tag_width+1)),
8166	       .address_width              ( addr_set_width)
8167
8168	       )
8169	   way_0_tag_ram
8170	     (
8171
8172	      .read_clk                   (clk_i),
8173	      .write_clk                  (clk_i),
8174	      .reset                      (rst_i),
8175	      .read_address               (tmem_read_address),
8176	      .enable_read                (enable),
8177	      .write_address              (tmem_write_address),
8178	      .enable_write               ( 1'b1),
8179	      .write_enable               (way_mem_we[i] | flushing),
8180	      .write_data                 (tmem_write_data),
8181
8182	      .read_data                  ({way_tag[i], way_valid[i]})
8183	      );
8184
8185	end
8186endgenerate
8187
8188
8189
8190
8191
8192
8193generate
8194    for (i = 0; i < associativity; i = i + 1)
8195    begin : match
8196assign way_match[i] = ({way_tag[i], way_valid[i]} == {address_f[ addr_tag_msb:addr_tag_lsb],  1'b1});
8197    end
8198endgenerate
8199
8200
8201generate
8202    if (associativity == 1)
8203    begin : inst_1
8204assign inst = way_match[0] ? way_data[0] : 32'b0;
8205    end
8206    else if (associativity == 2)
8207	 begin : inst_2
8208assign inst = way_match[0] ? way_data[0] : (way_match[1] ? way_data[1] : 32'b0);
8209    end
8210endgenerate
8211
8212
8213generate
8214    if (bytes_per_line > 4)
8215assign dmem_write_address = {refill_address[ addr_set_msb:addr_set_lsb], refill_offset};
8216    else
8217assign dmem_write_address = refill_address[ addr_set_msb:addr_set_lsb];
8218endgenerate
8219
8220assign dmem_read_address = address_a[ addr_set_msb:addr_offset_lsb];
8221
8222
8223assign tmem_read_address = address_a[ addr_set_msb:addr_set_lsb];
8224assign tmem_write_address = flushing
8225                                ? flush_set
8226                                : refill_address[ addr_set_msb:addr_set_lsb];
8227
8228
8229generate
8230    if (bytes_per_line > 4)
8231assign last_refill = refill_offset == {addr_offset_width{1'b1}};
8232    else
8233assign last_refill =  1'b1;
8234endgenerate
8235
8236
8237assign enable = (stall_a ==  1'b0);
8238
8239
8240generate
8241    if (associativity == 1)
8242    begin : we_1
8243assign way_mem_we[0] = (refill_ready ==  1'b1);
8244    end
8245    else
8246    begin : we_2
8247assign way_mem_we[0] = (refill_ready ==  1'b1) && (refill_way_select[0] ==  1'b1);
8248assign way_mem_we[1] = (refill_ready ==  1'b1) && (refill_way_select[1] ==  1'b1);
8249    end
8250endgenerate
8251
8252
8253assign tmem_write_data[ 0] = last_refill & !flushing;
8254assign tmem_write_data[ ((addr_tag_width+1)-1):1] = refill_address[ addr_tag_msb:addr_tag_lsb];
8255
8256
8257assign flushing = |state[1:0];
8258assign check = state[2];
8259assign refill = state[3];
8260
8261assign miss = (~(|way_match)) && (read_enable_f ==  1'b1) && (stall_f ==  1'b0) && !(valid_d && branch_predict_taken_d);
8262assign stall_request = (check ==  1'b0);
8263assign refill_request = (refill ==  1'b1);
8264
8265
8266
8267
8268
8269
8270generate
8271    if (associativity >= 2)
8272    begin : way_select
8273always @(posedge clk_i  )
8274begin
8275    if (rst_i ==  1'b1)
8276        refill_way_select <= {{associativity-1{1'b0}}, 1'b1};
8277    else
8278    begin
8279        if (miss ==  1'b1)
8280            refill_way_select <= {refill_way_select[0], refill_way_select[1]};
8281    end
8282end
8283    end
8284endgenerate
8285
8286
8287always @(posedge clk_i  )
8288begin
8289    if (rst_i ==  1'b1)
8290        refilling <=  1'b0;
8291    else
8292        refilling <= refill;
8293end
8294
8295
8296always @(posedge clk_i  )
8297begin
8298    if (rst_i ==  1'b1)
8299    begin
8300        state <=  4'b0001;
8301        flush_set <= { addr_set_width{1'b1}};
8302        refill_address <= { (clogb2(32'h7fffffff-32'h0)-2){1'bx}};
8303        restart_request <=  1'b0;
8304    end
8305    else
8306    begin
8307        case (state)
8308
8309
8310         4'b0001:
8311        begin
8312            if (flush_set == { addr_set_width{1'b0}})
8313                state <=  4'b0100;
8314            flush_set <= flush_set - 1'b1;
8315        end
8316
8317
8318         4'b0010:
8319        begin
8320            if (flush_set == { addr_set_width{1'b0}})
8321
8322
8323
8324
8325
8326
8327		state <=  4'b0100;
8328
8329            flush_set <= flush_set - 1'b1;
8330        end
8331
8332
8333         4'b0100:
8334        begin
8335            if (stall_a ==  1'b0)
8336                restart_request <=  1'b0;
8337            if (iflush ==  1'b1)
8338            begin
8339                refill_address <= address_f;
8340                state <=  4'b0010;
8341            end
8342            else if (miss ==  1'b1)
8343            begin
8344                refill_address <= address_f;
8345                state <=  4'b1000;
8346            end
8347        end
8348
8349
8350         4'b1000:
8351        begin
8352            if (refill_ready ==  1'b1)
8353            begin
8354                if (last_refill ==  1'b1)
8355                begin
8356                    restart_request <=  1'b1;
8357                    state <=  4'b0100;
8358                end
8359            end
8360        end
8361
8362        endcase
8363    end
8364end
8365
8366generate
8367    if (bytes_per_line > 4)
8368    begin
8369
8370always @(posedge clk_i  )
8371begin
8372    if (rst_i ==  1'b1)
8373        refill_offset <= {addr_offset_width{1'b0}};
8374    else
8375    begin
8376        case (state)
8377
8378
8379         4'b0100:
8380        begin
8381            if (iflush ==  1'b1)
8382                refill_offset <= {addr_offset_width{1'b0}};
8383            else if (miss ==  1'b1)
8384                refill_offset <= {addr_offset_width{1'b0}};
8385        end
8386
8387
8388         4'b1000:
8389        begin
8390            if (refill_ready ==  1'b1)
8391                refill_offset <= refill_offset + 1'b1;
8392        end
8393
8394        endcase
8395    end
8396end
8397    end
8398endgenerate
8399
8400endmodule
8401
8402
8403
8404
8405
8406
8407
8408
8409
8410
8411
8412
8413
8414
8415
8416
8417
8418
8419
8420
8421
8422
8423
8424
8425
8426
8427
8428
8429
8430
8431
8432
8433
8434
8435
8436
8437
8438
8439
8440
8441
8442
8443
8444
8445
8446
8447
8448
8449
8450
8451
8452
8453
8454
8455
8456
8457
8458
8459
8460
8461
8462
8463
8464
8465
8466
8467
8468
8469
8470
8471
8472
8473
8474
8475
8476
8477
8478
8479
8480
8481
8482
8483
8484
8485
8486
8487
8488
8489
8490
8491
8492
8493
8494
8495
8496
8497
8498
8499
8500
8501
8502
8503
8504
8505
8506
8507
8508
8509
8510
8511
8512
8513
8514
8515
8516
8517
8518
8519
8520
8521
8522
8523
8524
8525
8526
8527
8528
8529
8530
8531
8532
8533
8534
8535
8536
8537
8538
8539
8540
8541
8542
8543
8544
8545
8546
8547
8548
8549
8550
8551
8552
8553
8554
8555
8556
8557
8558
8559
8560
8561
8562
8563
8564
8565
8566
8567
8568
8569
8570
8571
8572
8573
8574
8575
8576
8577
8578
8579
8580
8581
8582
8583
8584
8585
8586
8587
8588
8589
8590
8591
8592
8593
8594
8595
8596
8597
8598
8599
8600
8601
8602
8603
8604
8605
8606
8607
8608
8609
8610
8611
8612
8613
8614
8615
8616
8617
8618
8619
8620
8621
8622
8623
8624
8625
8626
8627
8628
8629
8630
8631
8632
8633
8634
8635
8636
8637
8638
8639
8640
8641
8642
8643
8644
8645
8646
8647
8648
8649
8650
8651
8652
8653
8654
8655
8656
8657
8658
8659
8660
8661
8662
8663
8664
8665
8666
8667
8668
8669
8670
8671
8672
8673
8674
8675
8676
8677
8678
8679
8680
8681
8682
8683
8684
8685
8686
8687
8688
8689
8690
8691
8692
8693
8694
8695
8696
8697
8698
8699
8700
8701
8702
8703
8704
8705
8706
8707
8708
8709
8710
8711
8712
8713
8714
8715
8716
8717
8718
8719
8720
8721
8722
8723
8724
8725
8726
8727
8728
8729
8730
8731
8732
8733
8734
8735
8736
8737
8738
8739
8740
8741
8742
8743
8744
8745
8746
8747
8748
8749
8750
8751
8752
8753
8754
8755
8756
8757
8758
8759
8760
8761
8762
8763
8764
8765
8766
8767
8768
8769
8770
8771
8772
8773
8774
8775
8776
8777
8778
8779
8780
8781
8782
8783
8784
8785
8786
8787
8788
8789
8790
8791
8792
8793
8794
8795
8796
8797
8798
8799
8800
8801
8802
8803
8804
8805
8806module lm32_dcache_full (
8807
8808    clk_i,
8809    rst_i,
8810    stall_a,
8811    stall_x,
8812    stall_m,
8813    address_x,
8814    address_m,
8815    load_q_m,
8816    store_q_m,
8817    store_data,
8818    store_byte_select,
8819    refill_ready,
8820    refill_data,
8821    dflush,
8822
8823    stall_request,
8824    restart_request,
8825    refill_request,
8826    refill_address,
8827    refilling,
8828    load_data
8829    );
8830
8831
8832
8833
8834
8835parameter associativity = 1;
8836parameter sets = 512;
8837parameter bytes_per_line = 16;
8838parameter base_address = 0;
8839parameter limit = 0;
8840
8841localparam addr_offset_width = clogb2(bytes_per_line)-1-2;
8842localparam addr_set_width = clogb2(sets)-1;
8843localparam addr_offset_lsb = 2;
8844localparam addr_offset_msb = (addr_offset_lsb+addr_offset_width-1);
8845localparam addr_set_lsb = (addr_offset_msb+1);
8846localparam addr_set_msb = (addr_set_lsb+addr_set_width-1);
8847localparam addr_tag_lsb = (addr_set_msb+1);
8848localparam addr_tag_msb = clogb2( 32'h7fffffff- 32'h0)-1;
8849localparam addr_tag_width = (addr_tag_msb-addr_tag_lsb+1);
8850
8851
8852
8853
8854
8855input clk_i;
8856input rst_i;
8857
8858input stall_a;
8859input stall_x;
8860input stall_m;
8861
8862input [ (32-1):0] address_x;
8863input [ (32-1):0] address_m;
8864input load_q_m;
8865input store_q_m;
8866input [ (32-1):0] store_data;
8867input [ (4-1):0] store_byte_select;
8868
8869input refill_ready;
8870input [ (32-1):0] refill_data;
8871
8872input dflush;
8873
8874
8875
8876
8877
8878output stall_request;
8879wire   stall_request;
8880output restart_request;
8881reg    restart_request;
8882output refill_request;
8883reg    refill_request;
8884output [ (32-1):0] refill_address;
8885reg    [ (32-1):0] refill_address;
8886output refilling;
8887reg    refilling;
8888output [ (32-1):0] load_data;
8889wire   [ (32-1):0] load_data;
8890
8891
8892
8893
8894
8895wire read_port_enable;
8896wire write_port_enable;
8897wire [0:associativity-1] way_tmem_we;
8898wire [0:associativity-1] way_dmem_we;
8899wire [ (32-1):0] way_data[0:associativity-1];
8900wire [ ((addr_tag_width+1)-1):1] way_tag[0:associativity-1];
8901wire [0:associativity-1] way_valid;
8902wire [0:associativity-1] way_match;
8903wire miss;
8904
8905wire [ (addr_set_width-1):0] tmem_read_address;
8906wire [ (addr_set_width-1):0] tmem_write_address;
8907wire [ ((addr_offset_width+addr_set_width)-1):0] dmem_read_address;
8908wire [ ((addr_offset_width+addr_set_width)-1):0] dmem_write_address;
8909wire [ ((addr_tag_width+1)-1):0] tmem_write_data;
8910reg [ (32-1):0] dmem_write_data;
8911
8912reg [ 2:0] state;
8913wire flushing;
8914wire check;
8915wire refill;
8916
8917wire valid_store;
8918reg [associativity-1:0] refill_way_select;
8919reg [ addr_offset_msb:addr_offset_lsb] refill_offset;
8920wire last_refill;
8921reg [ (addr_set_width-1):0] flush_set;
8922
8923genvar i, j;
8924
8925
8926
8927
8928
8929
8930
8931
8932
8933
8934
8935
8936
8937
8938
8939
8940
8941
8942
8943
8944
8945
8946
8947
8948
8949
8950
8951
8952
8953
8954
8955
8956
8957
8958
8959function integer clogb2;
8960input [31:0] value;
8961begin
8962   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
8963        value = value >> 1;
8964end
8965endfunction
8966
8967function integer clogb2_v1;
8968input [31:0] value;
8969reg   [31:0] i;
8970reg   [31:0] temp;
8971begin
8972   temp = 0;
8973   i    = 0;
8974   for (i = 0; temp < value; i = i + 1)
8975	temp = 1<<i;
8976   clogb2_v1 = i-1;
8977end
8978endfunction
8979
8980
8981
8982
8983
8984
8985
8986
8987   generate
8988      for (i = 0; i < associativity; i = i + 1)
8989	begin : memories
8990
8991           if ( (addr_offset_width+addr_set_width) < 11)
8992             begin : data_memories
8993		lm32_ram
8994		  #(
8995
8996		    .data_width (32),
8997		    .address_width ( (addr_offset_width+addr_set_width))
8998
8999		    ) way_0_data_ram
9000		    (
9001
9002		     .read_clk (clk_i),
9003		     .write_clk (clk_i),
9004		     .reset (rst_i),
9005		     .read_address (dmem_read_address),
9006		     .enable_read (read_port_enable),
9007		     .write_address (dmem_write_address),
9008		     .enable_write (write_port_enable),
9009		     .write_enable (way_dmem_we[i]),
9010		     .write_data (dmem_write_data),
9011
9012		     .read_data (way_data[i])
9013		     );
9014             end
9015           else
9016             begin
9017		for (j = 0; j < 4; j = j + 1)
9018		  begin : byte_memories
9019		     lm32_ram
9020		       #(
9021
9022			 .data_width (8),
9023			 .address_width ( (addr_offset_width+addr_set_width))
9024
9025			 ) way_0_data_ram
9026			 (
9027
9028			  .read_clk (clk_i),
9029			  .write_clk (clk_i),
9030			  .reset (rst_i),
9031			  .read_address (dmem_read_address),
9032			  .enable_read (read_port_enable),
9033			  .write_address (dmem_write_address),
9034			  .enable_write (write_port_enable),
9035			  .write_enable (way_dmem_we[i] & (store_byte_select[j] | refill)),
9036			  .write_data (dmem_write_data[(j+1)*8-1:j*8]),
9037
9038			  .read_data (way_data[i][(j+1)*8-1:j*8])
9039			  );
9040		  end
9041             end
9042
9043
9044	   lm32_ram
9045	     #(
9046
9047	       .data_width ( (addr_tag_width+1)),
9048	       .address_width ( addr_set_width)
9049
9050	       ) way_0_tag_ram
9051	       (
9052
9053		.read_clk (clk_i),
9054		.write_clk (clk_i),
9055		.reset (rst_i),
9056		.read_address (tmem_read_address),
9057		.enable_read (read_port_enable),
9058		.write_address (tmem_write_address),
9059		.enable_write ( 1'b1),
9060		.write_enable (way_tmem_we[i]),
9061		.write_data (tmem_write_data),
9062
9063		.read_data ({way_tag[i], way_valid[i]})
9064		);
9065	end
9066
9067   endgenerate
9068
9069
9070
9071
9072
9073
9074generate
9075    for (i = 0; i < associativity; i = i + 1)
9076    begin : match
9077assign way_match[i] = ({way_tag[i], way_valid[i]} == {address_m[ addr_tag_msb:addr_tag_lsb],  1'b1});
9078    end
9079endgenerate
9080
9081
9082generate
9083    if (associativity == 1)
9084	 begin : data_1
9085assign load_data = way_data[0];
9086    end
9087    else if (associativity == 2)
9088	 begin : data_2
9089assign load_data = way_match[0] ? way_data[0] : way_data[1];
9090    end
9091endgenerate
9092
9093generate
9094    if ( (addr_offset_width+addr_set_width) < 11)
9095    begin
9096
9097always @(*)
9098begin
9099    if (refill ==  1'b1)
9100        dmem_write_data = refill_data;
9101    else
9102    begin
9103        dmem_write_data[ 7:0] = store_byte_select[0] ? store_data[ 7:0] : load_data[ 7:0];
9104        dmem_write_data[ 15:8] = store_byte_select[1] ? store_data[ 15:8] : load_data[ 15:8];
9105        dmem_write_data[ 23:16] = store_byte_select[2] ? store_data[ 23:16] : load_data[ 23:16];
9106        dmem_write_data[ 31:24] = store_byte_select[3] ? store_data[ 31:24] : load_data[ 31:24];
9107    end
9108end
9109    end
9110    else
9111    begin
9112
9113always @(*)
9114begin
9115    if (refill ==  1'b1)
9116        dmem_write_data = refill_data;
9117    else
9118        dmem_write_data = store_data;
9119end
9120    end
9121endgenerate
9122
9123
9124generate
9125     if (bytes_per_line > 4)
9126assign dmem_write_address = (refill ==  1'b1)
9127                            ? {refill_address[ addr_set_msb:addr_set_lsb], refill_offset}
9128                            : address_m[ addr_set_msb:addr_offset_lsb];
9129    else
9130assign dmem_write_address = (refill ==  1'b1)
9131                            ? refill_address[ addr_set_msb:addr_set_lsb]
9132                            : address_m[ addr_set_msb:addr_offset_lsb];
9133endgenerate
9134assign dmem_read_address = address_x[ addr_set_msb:addr_offset_lsb];
9135
9136assign tmem_write_address = (flushing ==  1'b1)
9137                            ? flush_set
9138                            : refill_address[ addr_set_msb:addr_set_lsb];
9139assign tmem_read_address = address_x[ addr_set_msb:addr_set_lsb];
9140
9141
9142generate
9143    if (bytes_per_line > 4)
9144assign last_refill = refill_offset == {addr_offset_width{1'b1}};
9145    else
9146assign last_refill =  1'b1;
9147endgenerate
9148
9149
9150assign read_port_enable = (stall_x ==  1'b0);
9151assign write_port_enable = (refill_ready ==  1'b1) || !stall_m;
9152
9153
9154assign valid_store = (store_q_m ==  1'b1) && (check ==  1'b1);
9155
9156
9157generate
9158    if (associativity == 1)
9159    begin : we_1
9160assign way_dmem_we[0] = (refill_ready ==  1'b1) || ((valid_store ==  1'b1) && (way_match[0] ==  1'b1));
9161assign way_tmem_we[0] = (refill_ready ==  1'b1) || (flushing ==  1'b1);
9162    end
9163    else
9164    begin : we_2
9165assign way_dmem_we[0] = ((refill_ready ==  1'b1) && (refill_way_select[0] ==  1'b1)) || ((valid_store ==  1'b1) && (way_match[0] ==  1'b1));
9166assign way_dmem_we[1] = ((refill_ready ==  1'b1) && (refill_way_select[1] ==  1'b1)) || ((valid_store ==  1'b1) && (way_match[1] ==  1'b1));
9167assign way_tmem_we[0] = ((refill_ready ==  1'b1) && (refill_way_select[0] ==  1'b1)) || (flushing ==  1'b1);
9168assign way_tmem_we[1] = ((refill_ready ==  1'b1) && (refill_way_select[1] ==  1'b1)) || (flushing ==  1'b1);
9169    end
9170endgenerate
9171
9172
9173assign tmem_write_data[ 0] = ((last_refill ==  1'b1) || (valid_store ==  1'b1)) && (flushing ==  1'b0);
9174assign tmem_write_data[ ((addr_tag_width+1)-1):1] = refill_address[ addr_tag_msb:addr_tag_lsb];
9175
9176
9177assign flushing = state[0];
9178assign check = state[1];
9179assign refill = state[2];
9180
9181assign miss = (~(|way_match)) && (load_q_m ==  1'b1) && (stall_m ==  1'b0);
9182assign stall_request = (check ==  1'b0);
9183
9184
9185
9186
9187
9188
9189generate
9190    if (associativity >= 2)
9191    begin : way_select
9192always @(posedge clk_i  )
9193begin
9194    if (rst_i ==  1'b1)
9195        refill_way_select <= {{associativity-1{1'b0}}, 1'b1};
9196    else
9197    begin
9198        if (refill_request ==  1'b1)
9199            refill_way_select <= {refill_way_select[0], refill_way_select[1]};
9200    end
9201end
9202    end
9203endgenerate
9204
9205
9206always @(posedge clk_i  )
9207begin
9208    if (rst_i ==  1'b1)
9209        refilling <=  1'b0;
9210    else
9211        refilling <= refill;
9212end
9213
9214
9215always @(posedge clk_i  )
9216begin
9217    if (rst_i ==  1'b1)
9218    begin
9219        state <=  3'b001;
9220        flush_set <= { addr_set_width{1'b1}};
9221        refill_request <=  1'b0;
9222        refill_address <= { 32{1'bx}};
9223        restart_request <=  1'b0;
9224    end
9225    else
9226    begin
9227        case (state)
9228
9229
9230         3'b001:
9231        begin
9232            if (flush_set == { addr_set_width{1'b0}})
9233                state <=  3'b010;
9234            flush_set <= flush_set - 1'b1;
9235        end
9236
9237
9238         3'b010:
9239        begin
9240            if (stall_a ==  1'b0)
9241                restart_request <=  1'b0;
9242            if (miss ==  1'b1)
9243            begin
9244                refill_request <=  1'b1;
9245                refill_address <= address_m;
9246                state <=  3'b100;
9247            end
9248            else if (dflush ==  1'b1)
9249                state <=  3'b001;
9250        end
9251
9252
9253         3'b100:
9254        begin
9255            refill_request <=  1'b0;
9256            if (refill_ready ==  1'b1)
9257            begin
9258                if (last_refill ==  1'b1)
9259                begin
9260                    restart_request <=  1'b1;
9261                    state <=  3'b010;
9262                end
9263            end
9264        end
9265
9266        endcase
9267    end
9268end
9269
9270generate
9271    if (bytes_per_line > 4)
9272    begin
9273
9274always @(posedge clk_i  )
9275begin
9276    if (rst_i ==  1'b1)
9277        refill_offset <= {addr_offset_width{1'b0}};
9278    else
9279    begin
9280        case (state)
9281
9282
9283         3'b010:
9284        begin
9285            if (miss ==  1'b1)
9286                refill_offset <= {addr_offset_width{1'b0}};
9287        end
9288
9289
9290         3'b100:
9291        begin
9292            if (refill_ready ==  1'b1)
9293                refill_offset <= refill_offset + 1'b1;
9294        end
9295
9296        endcase
9297    end
9298end
9299    end
9300endgenerate
9301
9302endmodule
9303
9304
9305
9306
9307
9308
9309
9310
9311
9312
9313
9314
9315
9316
9317
9318
9319
9320
9321
9322
9323
9324
9325
9326
9327
9328
9329
9330
9331
9332
9333
9334
9335
9336
9337
9338
9339
9340
9341
9342
9343
9344
9345
9346
9347
9348
9349
9350
9351
9352
9353
9354
9355
9356
9357
9358
9359
9360
9361
9362
9363
9364
9365
9366
9367
9368
9369
9370
9371
9372
9373
9374
9375
9376
9377
9378
9379
9380
9381
9382
9383
9384
9385
9386
9387
9388
9389
9390
9391
9392
9393
9394
9395
9396
9397
9398
9399
9400
9401
9402
9403
9404
9405
9406
9407
9408
9409
9410
9411
9412
9413
9414
9415
9416
9417
9418
9419
9420
9421
9422
9423
9424
9425
9426
9427
9428
9429
9430
9431
9432
9433
9434
9435
9436
9437
9438
9439
9440
9441
9442
9443
9444
9445
9446
9447
9448
9449
9450
9451
9452
9453
9454
9455
9456
9457
9458
9459
9460
9461
9462
9463
9464
9465
9466
9467
9468
9469
9470
9471
9472
9473
9474
9475
9476
9477
9478
9479
9480
9481
9482
9483
9484
9485
9486
9487
9488
9489
9490
9491
9492
9493
9494
9495
9496
9497
9498
9499
9500
9501
9502
9503
9504
9505
9506
9507
9508
9509
9510
9511
9512
9513
9514
9515
9516
9517
9518
9519
9520
9521
9522
9523
9524
9525
9526
9527
9528
9529
9530
9531
9532
9533
9534
9535
9536
9537
9538
9539
9540
9541
9542
9543
9544
9545
9546
9547
9548
9549
9550
9551
9552
9553
9554
9555
9556
9557
9558
9559
9560
9561
9562
9563
9564
9565
9566
9567
9568
9569
9570
9571
9572
9573
9574
9575
9576
9577
9578
9579
9580
9581
9582
9583
9584
9585
9586
9587
9588
9589
9590
9591
9592
9593
9594
9595
9596
9597
9598
9599
9600
9601
9602
9603
9604
9605
9606
9607
9608
9609
9610
9611
9612
9613
9614
9615
9616
9617
9618
9619
9620
9621
9622
9623
9624
9625
9626
9627
9628
9629
9630
9631
9632
9633
9634
9635
9636
9637
9638
9639
9640
9641
9642
9643
9644
9645
9646
9647
9648
9649
9650
9651
9652
9653
9654
9655
9656
9657
9658
9659
9660
9661
9662
9663
9664
9665
9666
9667
9668
9669
9670
9671
9672
9673
9674
9675
9676
9677
9678
9679
9680
9681
9682
9683
9684
9685
9686
9687
9688
9689
9690
9691
9692
9693
9694
9695
9696
9697
9698
9699
9700
9701
9702
9703
9704
9705
9706
9707
9708
9709
9710
9711
9712
9713
9714
9715
9716
9717
9718
9719
9720
9721
9722
9723
9724
9725
9726
9727
9728
9729
9730
9731
9732
9733
9734
9735
9736
9737
9738
9739
9740
9741
9742
9743
9744
9745
9746
9747
9748
9749
9750
9751
9752
9753
9754
9755
9756
9757
9758
9759
9760
9761
9762
9763
9764
9765
9766
9767
9768
9769
9770
9771
9772
9773
9774
9775
9776
9777
9778
9779
9780
9781
9782
9783
9784
9785
9786
9787
9788
9789
9790
9791
9792
9793
9794
9795
9796
9797
9798
9799
9800
9801
9802
9803
9804
9805
9806
9807
9808
9809
9810
9811
9812
9813
9814
9815
9816
9817
9818
9819
9820
9821
9822
9823
9824
9825
9826
9827
9828
9829
9830
9831
9832
9833
9834
9835
9836
9837
9838
9839
9840
9841
9842
9843
9844
9845
9846
9847
9848
9849
9850
9851
9852
9853
9854
9855
9856
9857
9858
9859
9860
9861
9862
9863
9864
9865
9866
9867
9868
9869
9870
9871
9872
9873
9874
9875
9876
9877
9878
9879
9880
9881
9882
9883
9884
9885
9886
9887
9888
9889
9890
9891
9892
9893
9894
9895
9896
9897
9898
9899
9900
9901
9902
9903
9904
9905
9906
9907
9908
9909
9910
9911
9912
9913
9914
9915
9916
9917
9918
9919
9920
9921
9922
9923
9924
9925
9926
9927
9928
9929
9930
9931
9932
9933
9934
9935
9936
9937
9938
9939
9940
9941
9942
9943
9944
9945
9946
9947
9948
9949
9950
9951
9952
9953
9954
9955
9956
9957
9958
9959
9960
9961
9962
9963
9964
9965
9966
9967
9968
9969
9970
9971
9972
9973
9974
9975
9976
9977
9978
9979
9980
9981
9982
9983
9984
9985
9986
9987
9988
9989
9990
9991
9992
9993
9994
9995
9996
9997
9998
9999
10000
10001
10002
10003
10004
10005
10006
10007
10008
10009
10010
10011
10012
10013
10014
10015
10016
10017
10018
10019
10020
10021
10022
10023
10024
10025
10026
10027
10028
10029
10030
10031
10032
10033
10034
10035
10036
10037
10038
10039
10040
10041
10042
10043
10044
10045
10046
10047
10048
10049
10050
10051
10052
10053
10054
10055
10056
10057
10058
10059
10060
10061
10062
10063
10064
10065
10066
10067
10068
10069
10070
10071
10072
10073
10074
10075
10076
10077
10078
10079
10080
10081
10082
10083
10084
10085
10086
10087
10088
10089
10090
10091
10092
10093
10094
10095
10096
10097
10098
10099
10100
10101
10102
10103
10104
10105
10106
10107
10108
10109
10110
10111
10112
10113
10114
10115
10116
10117
10118
10119
10120
10121
10122
10123
10124
10125
10126
10127
10128
10129
10130
10131
10132
10133
10134
10135
10136
10137
10138
10139
10140
10141
10142
10143
10144
10145
10146
10147
10148
10149
10150
10151
10152
10153
10154
10155
10156
10157
10158
10159
10160
10161
10162
10163
10164
10165
10166
10167
10168
10169
10170
10171
10172
10173
10174
10175
10176
10177
10178
10179
10180
10181
10182
10183
10184
10185
10186
10187
10188
10189
10190
10191
10192
10193
10194
10195
10196
10197
10198
10199
10200
10201
10202
10203
10204
10205
10206
10207
10208
10209
10210
10211
10212
10213
10214
10215
10216
10217
10218
10219
10220
10221
10222
10223
10224
10225
10226
10227
10228
10229
10230
10231
10232
10233
10234
10235
10236
10237
10238
10239
10240
10241
10242
10243
10244
10245
10246
10247
10248
10249
10250
10251
10252
10253
10254
10255
10256
10257
10258
10259
10260
10261
10262
10263
10264
10265
10266
10267
10268
10269
10270
10271
10272
10273
10274
10275
10276
10277
10278
10279
10280
10281
10282
10283
10284
10285
10286
10287
10288
10289
10290
10291
10292
10293
10294
10295
10296
10297
10298
10299
10300
10301
10302
10303
10304
10305
10306
10307
10308
10309
10310
10311
10312
10313
10314
10315
10316
10317
10318
10319
10320
10321
10322
10323
10324
10325
10326
10327
10328
10329
10330
10331
10332
10333
10334
10335
10336
10337
10338
10339
10340
10341
10342
10343
10344
10345
10346
10347
10348
10349
10350
10351
10352
10353
10354
10355
10356
10357
10358
10359
10360
10361
10362
10363
10364
10365
10366
10367
10368
10369
10370
10371
10372
10373
10374
10375
10376
10377
10378
10379
10380
10381
10382
10383
10384
10385
10386
10387
10388
10389module lm32_instruction_unit_full (
10390
10391    clk_i,
10392    rst_i,
10393
10394    stall_a,
10395    stall_f,
10396    stall_d,
10397    stall_x,
10398    stall_m,
10399    valid_f,
10400    valid_d,
10401    kill_f,
10402    branch_predict_taken_d,
10403    branch_predict_address_d,
10404
10405
10406    branch_taken_x,
10407    branch_target_x,
10408
10409
10410    exception_m,
10411    branch_taken_m,
10412    branch_mispredict_taken_m,
10413    branch_target_m,
10414
10415
10416    iflush,
10417
10418
10419
10420
10421    dcache_restart_request,
10422    dcache_refill_request,
10423    dcache_refilling,
10424
10425
10426
10427
10428
10429    i_dat_i,
10430    i_ack_i,
10431    i_err_i,
10432    i_rty_i,
10433
10434
10435
10436
10437
10438
10439
10440
10441
10442
10443
10444    pc_f,
10445    pc_d,
10446    pc_x,
10447    pc_m,
10448    pc_w,
10449
10450
10451    icache_stall_request,
10452    icache_restart_request,
10453    icache_refill_request,
10454    icache_refilling,
10455
10456
10457
10458
10459
10460    i_dat_o,
10461    i_adr_o,
10462    i_cyc_o,
10463    i_sel_o,
10464    i_stb_o,
10465    i_we_o,
10466    i_cti_o,
10467    i_lock_o,
10468    i_bte_o,
10469
10470
10471
10472
10473
10474
10475
10476
10477
10478
10479
10480
10481
10482
10483
10484    bus_error_d,
10485
10486
10487
10488
10489    instruction_f,
10490
10491
10492    instruction_d
10493    );
10494
10495
10496
10497
10498
10499parameter eba_reset =  32'h00000000;
10500parameter associativity = 1;
10501parameter sets = 512;
10502parameter bytes_per_line = 16;
10503parameter base_address = 0;
10504parameter limit = 0;
10505
10506
10507localparam eba_reset_minus_4 = eba_reset - 4;
10508localparam addr_offset_width = bytes_per_line == 4 ? 1 : clogb2(bytes_per_line)-1-2;
10509localparam addr_offset_lsb = 2;
10510localparam addr_offset_msb = (addr_offset_lsb+addr_offset_width-1);
10511
10512
10513
10514
10515
10516
10517
10518
10519
10520
10521
10522
10523input clk_i;
10524input rst_i;
10525
10526input stall_a;
10527input stall_f;
10528input stall_d;
10529input stall_x;
10530input stall_m;
10531input valid_f;
10532input valid_d;
10533input kill_f;
10534
10535input branch_predict_taken_d;
10536input [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_predict_address_d;
10537
10538
10539
10540input branch_taken_x;
10541input [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_target_x;
10542
10543
10544input exception_m;
10545input branch_taken_m;
10546input branch_mispredict_taken_m;
10547input [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_target_m;
10548
10549
10550
10551input iflush;
10552
10553
10554
10555
10556input dcache_restart_request;
10557input dcache_refill_request;
10558input dcache_refilling;
10559
10560
10561
10562
10563
10564
10565input [ (32-1):0] i_dat_i;
10566input i_ack_i;
10567input i_err_i;
10568input i_rty_i;
10569
10570
10571
10572
10573
10574
10575
10576
10577
10578
10579
10580
10581
10582
10583
10584output [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_f;
10585reg    [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_f;
10586output [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_d;
10587reg    [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_d;
10588output [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_x;
10589reg    [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_x;
10590output [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_m;
10591reg    [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_m;
10592output [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_w;
10593reg    [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_w;
10594
10595
10596
10597output icache_stall_request;
10598wire   icache_stall_request;
10599output icache_restart_request;
10600wire   icache_restart_request;
10601output icache_refill_request;
10602wire   icache_refill_request;
10603output icache_refilling;
10604wire   icache_refilling;
10605
10606
10607
10608
10609
10610output [ (32-1):0] i_dat_o;
10611
10612
10613
10614
10615wire   [ (32-1):0] i_dat_o;
10616
10617
10618output [ (32-1):0] i_adr_o;
10619reg    [ (32-1):0] i_adr_o;
10620output i_cyc_o;
10621reg    i_cyc_o;
10622output [ (4-1):0] i_sel_o;
10623
10624
10625
10626
10627wire   [ (4-1):0] i_sel_o;
10628
10629
10630output i_stb_o;
10631reg    i_stb_o;
10632output i_we_o;
10633
10634
10635
10636
10637wire   i_we_o;
10638
10639
10640output [ (3-1):0] i_cti_o;
10641reg    [ (3-1):0] i_cti_o;
10642output i_lock_o;
10643reg    i_lock_o;
10644output [ (2-1):0] i_bte_o;
10645wire   [ (2-1):0] i_bte_o;
10646
10647
10648
10649
10650
10651
10652
10653
10654
10655
10656
10657
10658
10659output bus_error_d;
10660reg    bus_error_d;
10661
10662
10663
10664
10665output [ (32-1):0] instruction_f;
10666wire   [ (32-1):0] instruction_f;
10667
10668
10669output [ (32-1):0] instruction_d;
10670reg    [ (32-1):0] instruction_d;
10671
10672
10673
10674
10675
10676reg [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_a;
10677
10678
10679
10680reg [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] restart_address;
10681
10682
10683
10684
10685
10686wire icache_read_enable_f;
10687wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] icache_refill_address;
10688reg icache_refill_ready;
10689reg [ (32-1):0] icache_refill_data;
10690wire [ (32-1):0] icache_data_f;
10691wire [ (3-1):0] first_cycle_type;
10692wire [ (3-1):0] next_cycle_type;
10693wire last_word;
10694wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] first_address;
10695
10696
10697
10698
10699
10700
10701
10702
10703
10704
10705
10706
10707
10708
10709
10710
10711
10712
10713
10714
10715
10716
10717
10718
10719   reg 			     bus_error_f;
10720
10721
10722
10723
10724
10725
10726
10727
10728
10729
10730
10731
10732
10733
10734
10735
10736
10737
10738
10739
10740
10741
10742
10743
10744
10745
10746
10747
10748
10749
10750
10751
10752
10753
10754
10755
10756
10757
10758
10759
10760
10761
10762
10763
10764
10765
10766
10767
10768function integer clogb2;
10769input [31:0] value;
10770begin
10771   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
10772        value = value >> 1;
10773end
10774endfunction
10775
10776function integer clogb2_v1;
10777input [31:0] value;
10778reg   [31:0] i;
10779reg   [31:0] temp;
10780begin
10781   temp = 0;
10782   i    = 0;
10783   for (i = 0; temp < value; i = i + 1)
10784	temp = 1<<i;
10785   clogb2_v1 = i-1;
10786end
10787endfunction
10788
10789
10790
10791
10792
10793
10794
10795
10796
10797
10798
10799
10800lm32_icache_full #(
10801    .associativity          (associativity),
10802    .sets                   (sets),
10803    .bytes_per_line         (bytes_per_line),
10804    .base_address           (base_address),
10805    .limit                  (limit)
10806    ) icache (
10807
10808    .clk_i                  (clk_i),
10809    .rst_i                  (rst_i),
10810    .stall_a                (stall_a),
10811    .stall_f                (stall_f),
10812    .branch_predict_taken_d (branch_predict_taken_d),
10813    .valid_d                (valid_d),
10814    .address_a              (pc_a),
10815    .address_f              (pc_f),
10816    .read_enable_f          (icache_read_enable_f),
10817    .refill_ready           (icache_refill_ready),
10818    .refill_data            (icache_refill_data),
10819    .iflush                 (iflush),
10820
10821    .stall_request          (icache_stall_request),
10822    .restart_request        (icache_restart_request),
10823    .refill_request         (icache_refill_request),
10824    .refill_address         (icache_refill_address),
10825    .refilling              (icache_refilling),
10826    .inst                   (icache_data_f)
10827    );
10828
10829
10830
10831
10832
10833
10834
10835
10836
10837
10838   assign icache_read_enable_f =    (valid_f ==  1'b1)
10839     && (kill_f ==  1'b0)
10840
10841
10842   && (dcache_restart_request ==  1'b0)
10843
10844
10845
10846
10847
10848
10849				    ;
10850
10851
10852
10853
10854always @(*)
10855begin
10856
10857
10858
10859    if (dcache_restart_request ==  1'b1)
10860        pc_a = restart_address;
10861    else
10862
10863
10864      if (branch_taken_m ==  1'b1)
10865	if ((branch_mispredict_taken_m ==  1'b1) && (exception_m ==  1'b0))
10866	  pc_a = pc_x;
10867	else
10868          pc_a = branch_target_m;
10869
10870
10871      else if (branch_taken_x ==  1'b1)
10872        pc_a = branch_target_x;
10873
10874
10875      else
10876	if ( (valid_d ==  1'b1) && (branch_predict_taken_d ==  1'b1) )
10877	  pc_a = branch_predict_address_d;
10878	else
10879
10880
10881          if (icache_restart_request ==  1'b1)
10882            pc_a = restart_address;
10883	  else
10884
10885
10886            pc_a = pc_f + 1'b1;
10887end
10888
10889
10890
10891
10892
10893
10894
10895
10896
10897
10898
10899
10900
10901
10902
10903
10904
10905
10906
10907
10908
10909
10910
10911
10912
10913
10914
10915
10916
10917
10918
10919
10920
10921
10922
10923assign instruction_f = icache_data_f;
10924
10925
10926
10927
10928
10929
10930
10931
10932
10933
10934
10935
10936
10937
10938assign i_dat_o = 32'd0;
10939assign i_we_o =  1'b0;
10940assign i_sel_o = 4'b1111;
10941
10942
10943assign i_bte_o =  2'b00;
10944
10945
10946
10947
10948
10949
10950generate
10951    case (bytes_per_line)
10952    4:
10953    begin
10954assign first_cycle_type =  3'b111;
10955assign next_cycle_type =  3'b111;
10956assign last_word =  1'b1;
10957assign first_address = icache_refill_address;
10958    end
10959    8:
10960    begin
10961assign first_cycle_type =  3'b010;
10962assign next_cycle_type =  3'b111;
10963assign last_word = i_adr_o[addr_offset_msb:addr_offset_lsb] == 1'b1;
10964assign first_address = {icache_refill_address[ (clogb2(32'h7fffffff-32'h0)-2)+2-1:addr_offset_msb+1], {addr_offset_width{1'b0}}};
10965    end
10966    16:
10967    begin
10968assign first_cycle_type =  3'b010;
10969assign next_cycle_type = i_adr_o[addr_offset_msb] == 1'b1 ?  3'b111 :  3'b010;
10970assign last_word = i_adr_o[addr_offset_msb:addr_offset_lsb] == 2'b11;
10971assign first_address = {icache_refill_address[ (clogb2(32'h7fffffff-32'h0)-2)+2-1:addr_offset_msb+1], {addr_offset_width{1'b0}}};
10972    end
10973    endcase
10974endgenerate
10975
10976
10977
10978
10979
10980
10981
10982
10983always @(posedge clk_i  )
10984begin
10985    if (rst_i ==  1'b1)
10986    begin
10987        pc_f <= eba_reset_minus_4[ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2];
10988        pc_d <= { (clogb2(32'h7fffffff-32'h0)-2){1'b0}};
10989        pc_x <= { (clogb2(32'h7fffffff-32'h0)-2){1'b0}};
10990        pc_m <= { (clogb2(32'h7fffffff-32'h0)-2){1'b0}};
10991        pc_w <= { (clogb2(32'h7fffffff-32'h0)-2){1'b0}};
10992    end
10993    else
10994    begin
10995        if (stall_f ==  1'b0)
10996            pc_f <= pc_a;
10997        if (stall_d ==  1'b0)
10998            pc_d <= pc_f;
10999        if (stall_x ==  1'b0)
11000            pc_x <= pc_d;
11001        if (stall_m ==  1'b0)
11002            pc_m <= pc_x;
11003        pc_w <= pc_m;
11004    end
11005end
11006
11007
11008
11009
11010always @(posedge clk_i  )
11011begin
11012    if (rst_i ==  1'b1)
11013        restart_address <= { (clogb2(32'h7fffffff-32'h0)-2){1'b0}};
11014    else
11015    begin
11016
11017
11018
11019
11020
11021            if (dcache_refill_request ==  1'b1)
11022                restart_address <= pc_w;
11023            else if ((icache_refill_request ==  1'b1) && (!dcache_refilling) && (!dcache_restart_request))
11024                restart_address <= icache_refill_address;
11025
11026
11027
11028
11029
11030
11031
11032
11033
11034
11035
11036
11037    end
11038end
11039
11040
11041
11042
11043
11044
11045
11046
11047
11048
11049
11050
11051
11052
11053
11054
11055
11056
11057
11058
11059
11060
11061
11062
11063
11064
11065
11066
11067
11068
11069
11070
11071
11072
11073
11074
11075
11076
11077
11078   always @(posedge clk_i  )
11079     begin
11080	if (rst_i ==  1'b1)
11081	  begin
11082             i_cyc_o <=  1'b0;
11083             i_stb_o <=  1'b0;
11084             i_adr_o <= { 32{1'b0}};
11085             i_cti_o <=  3'b111;
11086             i_lock_o <=  1'b0;
11087             icache_refill_data <= { 32{1'b0}};
11088             icache_refill_ready <=  1'b0;
11089
11090
11091             bus_error_f <=  1'b0;
11092
11093
11094
11095
11096
11097
11098
11099
11100	  end
11101	else
11102	  begin
11103             icache_refill_ready <=  1'b0;
11104
11105             if (i_cyc_o ==  1'b1)
11106               begin
11107
11108		  if ((i_ack_i ==  1'b1) || (i_err_i ==  1'b1))
11109		    begin
11110
11111
11112
11113
11114
11115
11116
11117
11118
11119
11120
11121			 begin
11122			    if (last_word ==  1'b1)
11123			      begin
11124
11125				 i_cyc_o <=  1'b0;
11126				 i_stb_o <=  1'b0;
11127				 i_lock_o <=  1'b0;
11128			      end
11129
11130			    i_adr_o[addr_offset_msb:addr_offset_lsb] <= i_adr_o[addr_offset_msb:addr_offset_lsb] + 1'b1;
11131			    i_cti_o <= next_cycle_type;
11132
11133			    icache_refill_ready <=  1'b1;
11134			    icache_refill_data <= i_dat_i;
11135			 end
11136		    end
11137
11138
11139
11140
11141		  if (i_err_i ==  1'b1)
11142		    begin
11143                       bus_error_f <=  1'b1;
11144                       $display ("Instruction bus error. Address: %x", i_adr_o);
11145		    end
11146
11147
11148
11149
11150               end
11151             else
11152               begin
11153		  if ((icache_refill_request ==  1'b1) && (icache_refill_ready ==  1'b0))
11154		    begin
11155
11156
11157
11158
11159
11160                       i_adr_o <= {first_address, 2'b00};
11161                       i_cyc_o <=  1'b1;
11162                       i_stb_o <=  1'b1;
11163                       i_cti_o <= first_cycle_type;
11164
11165
11166
11167                       bus_error_f <=  1'b0;
11168
11169
11170		    end
11171
11172
11173
11174
11175
11176
11177
11178
11179
11180
11181
11182
11183
11184
11185
11186
11187
11188
11189
11190
11191
11192
11193
11194
11195
11196
11197
11198
11199		  if (branch_taken_x ==  1'b1)
11200                    bus_error_f <=  1'b0;
11201
11202
11203		  if (branch_taken_m ==  1'b1)
11204                    bus_error_f <=  1'b0;
11205
11206
11207               end
11208	  end
11209     end
11210
11211
11212
11213
11214
11215
11216
11217
11218
11219
11220
11221
11222
11223
11224
11225
11226
11227
11228
11229
11230
11231
11232
11233
11234
11235
11236
11237
11238
11239
11240
11241
11242
11243
11244
11245
11246
11247
11248
11249
11250
11251
11252
11253
11254
11255
11256
11257
11258
11259
11260
11261
11262
11263
11264
11265
11266
11267
11268
11269
11270
11271
11272
11273
11274
11275
11276
11277
11278
11279
11280
11281
11282
11283
11284
11285
11286
11287
11288
11289
11290
11291
11292   always @(posedge clk_i  )
11293     begin
11294	if (rst_i ==  1'b1)
11295	  begin
11296             instruction_d <= { 32{1'b0}};
11297
11298
11299             bus_error_d <=  1'b0;
11300
11301
11302	  end
11303	else
11304	  begin
11305             if (stall_d ==  1'b0)
11306               begin
11307		  instruction_d <= instruction_f;
11308
11309
11310		  bus_error_d <= bus_error_f;
11311
11312
11313               end
11314	  end
11315     end
11316
11317endmodule
11318
11319
11320
11321
11322
11323
11324
11325
11326
11327
11328
11329
11330
11331
11332
11333
11334
11335
11336
11337
11338
11339
11340
11341
11342
11343
11344
11345
11346
11347
11348
11349
11350
11351
11352
11353
11354
11355
11356
11357
11358
11359
11360
11361
11362
11363
11364
11365
11366
11367
11368
11369
11370
11371
11372
11373
11374
11375
11376
11377
11378
11379
11380
11381
11382
11383
11384
11385
11386
11387
11388
11389
11390
11391
11392
11393
11394
11395
11396
11397
11398
11399
11400
11401
11402
11403
11404
11405
11406
11407
11408
11409
11410
11411
11412
11413
11414
11415
11416
11417
11418
11419
11420
11421
11422
11423
11424
11425
11426
11427
11428
11429
11430
11431
11432
11433
11434
11435
11436
11437
11438
11439
11440
11441
11442
11443
11444
11445
11446
11447
11448
11449
11450
11451
11452
11453
11454
11455
11456
11457
11458
11459
11460
11461
11462
11463
11464
11465
11466
11467
11468
11469
11470
11471
11472
11473
11474
11475
11476
11477
11478
11479
11480
11481
11482
11483
11484
11485
11486
11487
11488
11489
11490
11491
11492
11493
11494
11495
11496
11497
11498
11499
11500
11501
11502
11503
11504
11505
11506
11507
11508
11509
11510
11511
11512
11513
11514
11515
11516
11517
11518
11519
11520
11521
11522
11523
11524
11525
11526
11527
11528
11529
11530
11531
11532
11533
11534
11535
11536
11537
11538
11539
11540
11541
11542
11543
11544
11545
11546
11547
11548
11549
11550
11551
11552
11553
11554
11555
11556
11557
11558
11559
11560
11561
11562
11563
11564
11565
11566
11567
11568
11569
11570
11571
11572
11573
11574
11575
11576
11577
11578
11579
11580
11581
11582
11583
11584
11585
11586
11587
11588
11589
11590
11591
11592
11593
11594
11595
11596
11597
11598
11599
11600
11601
11602
11603
11604
11605
11606
11607
11608
11609
11610
11611
11612
11613
11614
11615
11616
11617
11618
11619
11620
11621
11622
11623
11624
11625
11626
11627
11628
11629
11630
11631
11632
11633
11634
11635
11636
11637
11638
11639
11640
11641
11642
11643
11644
11645
11646
11647
11648
11649
11650
11651
11652
11653
11654
11655
11656
11657
11658
11659
11660
11661
11662
11663
11664
11665
11666
11667
11668
11669
11670
11671
11672
11673
11674
11675
11676
11677
11678
11679
11680
11681
11682
11683
11684
11685
11686
11687
11688
11689
11690
11691
11692
11693
11694
11695
11696
11697
11698
11699
11700
11701
11702
11703
11704
11705
11706
11707
11708
11709
11710
11711
11712
11713
11714
11715
11716
11717
11718
11719
11720
11721
11722
11723
11724
11725
11726
11727
11728
11729
11730
11731
11732
11733
11734
11735
11736
11737
11738
11739
11740
11741
11742
11743
11744
11745
11746
11747
11748
11749
11750
11751
11752
11753
11754
11755
11756
11757
11758
11759
11760
11761
11762
11763
11764
11765
11766
11767
11768
11769
11770
11771
11772
11773
11774
11775
11776
11777
11778
11779
11780
11781
11782
11783
11784
11785
11786
11787
11788
11789
11790
11791
11792
11793
11794
11795
11796
11797
11798
11799
11800
11801
11802
11803
11804
11805
11806
11807
11808
11809
11810
11811
11812
11813
11814
11815
11816
11817
11818
11819
11820
11821
11822
11823
11824
11825
11826
11827
11828
11829
11830
11831
11832
11833
11834
11835
11836
11837
11838
11839
11840
11841
11842
11843
11844
11845
11846
11847
11848
11849
11850
11851
11852
11853
11854
11855
11856
11857
11858
11859
11860
11861
11862
11863
11864
11865
11866
11867
11868
11869
11870
11871
11872
11873
11874
11875
11876
11877
11878
11879
11880
11881
11882
11883
11884
11885
11886
11887
11888
11889
11890
11891
11892
11893
11894
11895
11896
11897
11898
11899
11900
11901
11902
11903
11904
11905
11906
11907
11908
11909
11910
11911
11912
11913
11914
11915
11916
11917
11918
11919
11920
11921
11922
11923
11924
11925
11926
11927
11928
11929
11930
11931
11932
11933
11934
11935
11936
11937
11938
11939
11940
11941
11942
11943
11944
11945
11946
11947
11948
11949
11950
11951
11952
11953
11954
11955
11956
11957
11958
11959
11960
11961
11962
11963
11964
11965
11966
11967
11968
11969
11970
11971
11972
11973
11974
11975
11976
11977
11978
11979
11980
11981
11982
11983
11984
11985
11986
11987
11988
11989
11990
11991
11992
11993
11994
11995
11996
11997
11998
11999
12000
12001
12002
12003
12004
12005
12006
12007
12008
12009
12010
12011
12012
12013
12014
12015
12016
12017
12018
12019
12020
12021
12022
12023
12024
12025
12026
12027
12028
12029
12030
12031
12032
12033
12034
12035
12036
12037
12038
12039
12040
12041
12042
12043
12044
12045
12046
12047
12048
12049
12050
12051
12052
12053
12054
12055
12056
12057
12058
12059
12060
12061
12062
12063
12064
12065
12066
12067
12068
12069
12070
12071
12072
12073
12074
12075
12076
12077
12078
12079
12080
12081
12082
12083
12084
12085
12086
12087
12088
12089
12090
12091
12092
12093
12094
12095
12096
12097
12098
12099
12100
12101
12102
12103
12104
12105
12106
12107
12108
12109
12110
12111
12112
12113
12114
12115
12116
12117
12118
12119
12120
12121
12122
12123
12124
12125
12126
12127
12128
12129
12130
12131
12132
12133
12134
12135
12136
12137
12138
12139
12140
12141
12142
12143
12144
12145
12146
12147
12148
12149
12150
12151
12152
12153
12154
12155
12156
12157
12158
12159
12160
12161
12162
12163
12164
12165
12166
12167
12168
12169
12170
12171
12172
12173
12174
12175
12176
12177
12178
12179
12180
12181
12182
12183
12184
12185
12186
12187
12188
12189
12190
12191
12192
12193
12194
12195
12196
12197
12198
12199
12200
12201
12202
12203
12204
12205
12206
12207
12208
12209
12210
12211
12212
12213
12214
12215
12216
12217
12218
12219
12220
12221
12222
12223
12224
12225
12226
12227
12228
12229
12230
12231
12232
12233
12234
12235
12236
12237
12238
12239
12240
12241
12242
12243
12244
12245
12246
12247
12248
12249
12250
12251
12252
12253
12254
12255
12256
12257
12258
12259
12260
12261
12262
12263
12264
12265
12266
12267
12268
12269
12270
12271
12272
12273
12274
12275
12276
12277
12278
12279
12280
12281
12282
12283
12284
12285
12286
12287
12288
12289
12290
12291
12292
12293
12294
12295
12296
12297
12298
12299
12300
12301
12302
12303
12304
12305
12306
12307
12308
12309
12310
12311
12312
12313
12314
12315
12316
12317
12318
12319
12320
12321
12322
12323
12324
12325
12326
12327
12328
12329
12330
12331
12332
12333
12334
12335
12336
12337
12338
12339
12340
12341
12342
12343
12344
12345
12346
12347
12348
12349
12350
12351
12352
12353
12354
12355
12356
12357
12358
12359
12360
12361
12362
12363
12364
12365
12366
12367
12368
12369
12370
12371
12372
12373
12374
12375
12376
12377
12378
12379
12380
12381
12382
12383
12384
12385
12386
12387
12388
12389
12390
12391
12392
12393
12394
12395
12396
12397
12398
12399
12400
12401
12402
12403
12404
12405
12406
12407
12408
12409
12410
12411
12412
12413
12414
12415
12416
12417
12418
12419
12420
12421
12422
12423
12424
12425
12426
12427
12428
12429
12430
12431
12432
12433
12434
12435
12436
12437
12438
12439
12440
12441
12442
12443
12444
12445
12446
12447
12448
12449
12450
12451
12452
12453
12454
12455
12456
12457
12458
12459
12460
12461
12462
12463
12464
12465
12466
12467
12468
12469
12470
12471
12472
12473
12474module lm32_interrupt_full (
12475
12476    clk_i,
12477    rst_i,
12478
12479    interrupt,
12480
12481    stall_x,
12482
12483
12484
12485
12486
12487    exception,
12488
12489
12490    eret_q_x,
12491
12492
12493
12494
12495    csr,
12496    csr_write_data,
12497    csr_write_enable,
12498
12499    interrupt_exception,
12500
12501    csr_read_data
12502    );
12503
12504
12505
12506
12507
12508parameter interrupts =  32;
12509
12510
12511
12512
12513
12514input clk_i;
12515input rst_i;
12516
12517input [interrupts-1:0] interrupt;
12518
12519input stall_x;
12520
12521
12522
12523
12524
12525
12526input exception;
12527
12528
12529input eret_q_x;
12530
12531
12532
12533
12534
12535input [ (4 -1):0] csr;
12536input [ (32-1):0] csr_write_data;
12537input csr_write_enable;
12538
12539
12540
12541
12542
12543output interrupt_exception;
12544wire   interrupt_exception;
12545
12546output [ (32-1):0] csr_read_data;
12547reg    [ (32-1):0] csr_read_data;
12548
12549
12550
12551
12552
12553wire [interrupts-1:0] asserted;
12554
12555wire [interrupts-1:0] interrupt_n_exception;
12556
12557
12558
12559reg ie;
12560reg eie;
12561
12562
12563
12564
12565reg [interrupts-1:0] ip;
12566reg [interrupts-1:0] im;
12567
12568
12569
12570
12571
12572
12573assign interrupt_n_exception = ip & im;
12574
12575
12576assign interrupt_exception = (|interrupt_n_exception) & ie;
12577
12578
12579assign asserted = ip | interrupt;
12580
12581generate
12582    if (interrupts > 1)
12583    begin
12584
12585always @(*)
12586begin
12587    case (csr)
12588     4 'h0:  csr_read_data = {{ 32-3{1'b0}},
12589
12590
12591
12592
12593                                    1'b0,
12594
12595
12596                                    eie,
12597                                    ie
12598                                   };
12599     4 'h2:  csr_read_data = ip;
12600     4 'h1:  csr_read_data = im;
12601    default:       csr_read_data = { 32{1'bx}};
12602    endcase
12603end
12604    end
12605    else
12606    begin
12607
12608always @(*)
12609begin
12610    case (csr)
12611     4 'h0:  csr_read_data = {{ 32-3{1'b0}},
12612
12613
12614
12615
12616                                    1'b0,
12617
12618
12619                                    eie,
12620                                    ie
12621                                   };
12622     4 'h2:  csr_read_data = ip;
12623    default:       csr_read_data = { 32{1'bx}};
12624      endcase
12625end
12626    end
12627endgenerate
12628
12629
12630
12631
12632
12633
12634
12635   reg [ 10:0] eie_delay  = 0;
12636
12637
12638generate
12639
12640
12641    if (interrupts > 1)
12642    begin
12643
12644always @(posedge clk_i  )
12645  begin
12646    if (rst_i ==  1'b1)
12647    begin
12648        ie                   <=  1'b0;
12649        eie                  <=  1'b0;
12650
12651
12652
12653
12654        im                   <= {interrupts{1'b0}};
12655        ip                   <= {interrupts{1'b0}};
12656       eie_delay             <= 0;
12657
12658    end
12659    else
12660    begin
12661
12662        ip                   <= asserted;
12663
12664
12665
12666
12667
12668
12669
12670
12671
12672
12673
12674
12675
12676
12677
12678        if (exception ==  1'b1)
12679        begin
12680
12681            eie              <= ie;
12682            ie               <=  1'b0;
12683        end
12684
12685
12686        else if (stall_x ==  1'b0)
12687        begin
12688
12689           if(eie_delay[0])
12690             ie              <= eie;
12691
12692           eie_delay         <= {1'b0, eie_delay[ 10:1]};
12693
12694            if (eret_q_x ==  1'b1) begin
12695
12696               eie_delay[ 10] <=  1'b1;
12697               eie_delay[ 10-1:0] <= 0;
12698            end
12699
12700
12701
12702
12703
12704
12705
12706
12707
12708            else if (csr_write_enable ==  1'b1)
12709            begin
12710
12711                if (csr ==  4 'h0)
12712                begin
12713                    ie  <= csr_write_data[0];
12714                    eie <= csr_write_data[1];
12715
12716
12717
12718
12719                end
12720                if (csr ==  4 'h1)
12721                    im  <= csr_write_data[interrupts-1:0];
12722                if (csr ==  4 'h2)
12723                    ip  <= asserted & ~csr_write_data[interrupts-1:0];
12724            end
12725        end
12726    end
12727end
12728    end
12729else
12730    begin
12731
12732always @(posedge clk_i  )
12733  begin
12734    if (rst_i ==  1'b1)
12735    begin
12736        ie              <=  1'b0;
12737        eie             <=  1'b0;
12738
12739
12740
12741
12742        ip              <= {interrupts{1'b0}};
12743       eie_delay        <= 0;
12744    end
12745    else
12746    begin
12747
12748        ip              <= asserted;
12749
12750
12751
12752
12753
12754
12755
12756
12757
12758
12759
12760
12761
12762
12763
12764        if (exception ==  1'b1)
12765        begin
12766
12767            eie         <= ie;
12768            ie          <=  1'b0;
12769        end
12770
12771
12772        else if (stall_x ==  1'b0)
12773          begin
12774
12775             if(eie_delay[0])
12776               ie              <= eie;
12777
12778             eie_delay         <= {1'b0, eie_delay[ 10:1]};
12779
12780             if (eret_q_x ==  1'b1) begin
12781
12782                eie_delay[ 10] <=  1'b1;
12783                eie_delay[ 10-1:0] <= 0;
12784             end
12785
12786
12787
12788
12789
12790
12791
12792            else if (csr_write_enable ==  1'b1)
12793            begin
12794
12795                if (csr ==  4 'h0)
12796                begin
12797                    ie  <= csr_write_data[0];
12798                    eie <= csr_write_data[1];
12799
12800
12801
12802
12803                end
12804                if (csr ==  4 'h2)
12805                    ip  <= asserted & ~csr_write_data[interrupts-1:0];
12806            end
12807        end
12808    end
12809end
12810    end
12811endgenerate
12812
12813endmodule
12814
12815
12816
12817
12818
12819
12820
12821
12822
12823
12824
12825
12826
12827
12828
12829
12830
12831
12832
12833
12834
12835
12836
12837
12838
12839
12840
12841
12842
12843
12844
12845
12846
12847
12848
12849
12850
12851
12852
12853
12854
12855
12856
12857
12858
12859
12860
12861
12862
12863
12864
12865
12866
12867
12868
12869
12870
12871
12872
12873
12874
12875
12876
12877
12878
12879
12880
12881
12882
12883
12884
12885
12886
12887
12888
12889
12890
12891
12892
12893
12894
12895
12896
12897
12898
12899
12900
12901
12902
12903
12904
12905
12906
12907
12908
12909
12910
12911
12912
12913
12914
12915
12916
12917
12918
12919
12920
12921
12922
12923
12924
12925
12926
12927
12928
12929
12930
12931
12932
12933
12934
12935
12936
12937
12938
12939
12940
12941
12942
12943
12944
12945
12946
12947
12948
12949
12950
12951
12952
12953
12954
12955
12956
12957
12958
12959
12960
12961
12962
12963
12964
12965
12966
12967
12968
12969
12970
12971
12972
12973
12974
12975
12976
12977
12978
12979
12980
12981
12982
12983
12984
12985
12986
12987
12988
12989
12990
12991
12992
12993
12994
12995
12996
12997
12998
12999
13000
13001
13002
13003
13004
13005
13006
13007
13008
13009
13010
13011
13012
13013
13014
13015
13016
13017
13018
13019
13020
13021
13022
13023
13024
13025
13026
13027
13028
13029
13030
13031
13032
13033
13034
13035
13036
13037
13038
13039
13040
13041
13042
13043
13044
13045
13046
13047
13048
13049
13050
13051
13052
13053
13054
13055
13056
13057
13058
13059
13060
13061
13062
13063
13064
13065
13066
13067
13068
13069
13070
13071
13072
13073
13074
13075
13076
13077
13078
13079
13080
13081
13082
13083
13084
13085
13086
13087
13088
13089
13090
13091
13092
13093
13094
13095
13096
13097
13098
13099
13100
13101
13102
13103
13104
13105
13106
13107
13108
13109
13110
13111
13112
13113
13114
13115
13116
13117
13118
13119
13120
13121
13122
13123
13124
13125
13126
13127
13128
13129
13130
13131
13132
13133
13134
13135
13136
13137
13138
13139
13140
13141
13142
13143
13144
13145
13146
13147
13148
13149
13150
13151
13152
13153
13154
13155
13156
13157
13158
13159
13160
13161
13162
13163
13164
13165
13166
13167
13168
13169
13170
13171
13172
13173
13174
13175
13176
13177
13178
13179
13180
13181
13182
13183
13184
13185
13186
13187
13188
13189
13190
13191
13192
13193
13194
13195
13196
13197
13198
13199
13200
13201
13202
13203
13204
13205
13206
13207
13208
13209
13210
13211
13212
13213
13214
13215
13216
13217
13218
13219
13220
13221
13222
13223
13224
13225
13226
13227
13228
13229
13230
13231
13232
13233
13234
13235
13236
13237
13238
13239
13240
13241
13242
13243
13244
13245
13246
13247
13248
13249
13250
13251
13252
13253
13254
13255
13256
13257
13258
13259
13260
13261
13262
13263
13264
13265
13266
13267
13268
13269
13270
13271
13272
13273
13274
13275
13276
13277
13278
13279
13280
13281
13282
13283
13284
13285
13286
13287
13288
13289
13290
13291
13292
13293
13294
13295
13296
13297
13298
13299
13300
13301
13302
13303
13304
13305
13306
13307
13308
13309
13310
13311
13312
13313
13314
13315
13316
13317
13318
13319
13320
13321
13322
13323
13324
13325
13326
13327
13328
13329
13330
13331
13332
13333
13334
13335
13336
13337
13338
13339
13340
13341
13342
13343
13344
13345
13346
13347
13348
13349
13350
13351
13352
13353
13354
13355
13356
13357
13358
13359
13360
13361
13362
13363
13364
13365
13366
13367
13368
13369
13370
13371
13372
13373
13374
13375
13376
13377
13378
13379
13380
13381
13382
13383
13384
13385
13386
13387
13388
13389
13390
13391
13392
13393
13394
13395
13396
13397
13398
13399
13400
13401
13402
13403
13404
13405
13406
13407
13408
13409
13410
13411
13412
13413
13414
13415module lm32_top_full_debug (
13416
13417    clk_i,
13418    rst_i,
13419
13420
13421    interrupt,
13422
13423
13424
13425
13426
13427
13428
13429
13430
13431
13432    I_DAT_I,
13433    I_ACK_I,
13434    I_ERR_I,
13435    I_RTY_I,
13436
13437
13438
13439    D_DAT_I,
13440    D_ACK_I,
13441    D_ERR_I,
13442    D_RTY_I,
13443
13444
13445
13446
13447
13448
13449
13450
13451
13452
13453
13454    I_DAT_O,
13455    I_ADR_O,
13456    I_CYC_O,
13457    I_SEL_O,
13458    I_STB_O,
13459    I_WE_O,
13460    I_CTI_O,
13461    I_LOCK_O,
13462    I_BTE_O,
13463
13464
13465
13466    D_DAT_O,
13467    D_ADR_O,
13468    D_CYC_O,
13469    D_SEL_O,
13470    D_STB_O,
13471    D_WE_O,
13472    D_CTI_O,
13473    D_LOCK_O,
13474    D_BTE_O
13475    );
13476
13477parameter eba_reset = 32'h00000000;
13478parameter sdb_address = 32'h00000000;
13479
13480
13481
13482
13483input clk_i;
13484input rst_i;
13485
13486
13487input [ (32-1):0] interrupt;
13488
13489
13490
13491
13492
13493
13494
13495
13496
13497
13498input [ (32-1):0] I_DAT_I;
13499input I_ACK_I;
13500input I_ERR_I;
13501input I_RTY_I;
13502
13503
13504
13505input [ (32-1):0] D_DAT_I;
13506input D_ACK_I;
13507input D_ERR_I;
13508input D_RTY_I;
13509
13510
13511
13512
13513
13514
13515
13516
13517
13518
13519
13520
13521
13522
13523
13524
13525
13526
13527
13528output [ (32-1):0] I_DAT_O;
13529wire   [ (32-1):0] I_DAT_O;
13530output [ (32-1):0] I_ADR_O;
13531wire   [ (32-1):0] I_ADR_O;
13532output I_CYC_O;
13533wire   I_CYC_O;
13534output [ (4-1):0] I_SEL_O;
13535wire   [ (4-1):0] I_SEL_O;
13536output I_STB_O;
13537wire   I_STB_O;
13538output I_WE_O;
13539wire   I_WE_O;
13540output [ (3-1):0] I_CTI_O;
13541wire   [ (3-1):0] I_CTI_O;
13542output I_LOCK_O;
13543wire   I_LOCK_O;
13544output [ (2-1):0] I_BTE_O;
13545wire   [ (2-1):0] I_BTE_O;
13546
13547
13548
13549output [ (32-1):0] D_DAT_O;
13550wire   [ (32-1):0] D_DAT_O;
13551output [ (32-1):0] D_ADR_O;
13552wire   [ (32-1):0] D_ADR_O;
13553output D_CYC_O;
13554wire   D_CYC_O;
13555output [ (4-1):0] D_SEL_O;
13556wire   [ (4-1):0] D_SEL_O;
13557output D_STB_O;
13558wire   D_STB_O;
13559output D_WE_O;
13560wire   D_WE_O;
13561output [ (3-1):0] D_CTI_O;
13562wire   [ (3-1):0] D_CTI_O;
13563output D_LOCK_O;
13564wire   D_LOCK_O;
13565output [ (2-1):0] D_BTE_O;
13566wire   [ (2-1):0] D_BTE_O;
13567
13568
13569
13570
13571
13572
13573
13574
13575wire [ 7:0] jtag_reg_d;
13576wire [ 7:0] jtag_reg_q;
13577wire jtag_update;
13578wire [2:0] jtag_reg_addr_d;
13579wire [2:0] jtag_reg_addr_q;
13580wire jtck;
13581wire jrstn;
13582
13583
13584
13585
13586
13587
13588
13589
13590
13591
13592
13593
13594
13595
13596
13597
13598
13599
13600
13601
13602
13603
13604
13605
13606
13607
13608
13609
13610
13611
13612
13613
13614
13615
13616
13617
13618
13619
13620
13621
13622
13623
13624
13625
13626
13627
13628
13629
13630
13631
13632
13633function integer clogb2;
13634input [31:0] value;
13635begin
13636   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
13637        value = value >> 1;
13638end
13639endfunction
13640
13641function integer clogb2_v1;
13642input [31:0] value;
13643reg   [31:0] i;
13644reg   [31:0] temp;
13645begin
13646   temp = 0;
13647   i    = 0;
13648   for (i = 0; temp < value; i = i + 1)
13649	temp = 1<<i;
13650   clogb2_v1 = i-1;
13651end
13652endfunction
13653
13654
13655
13656
13657
13658
13659
13660
13661lm32_cpu_full_debug
13662	#(
13663		.eba_reset(eba_reset),
13664    .sdb_address(sdb_address)
13665	) cpu (
13666
13667    .clk_i                 (clk_i),
13668
13669
13670
13671
13672    .rst_i                 (rst_i),
13673
13674
13675
13676    .interrupt             (interrupt),
13677
13678
13679
13680
13681
13682
13683
13684
13685
13686
13687
13688    .jtag_clk              (jtck),
13689    .jtag_update           (jtag_update),
13690    .jtag_reg_q            (jtag_reg_q),
13691    .jtag_reg_addr_q       (jtag_reg_addr_q),
13692
13693
13694
13695
13696
13697    .I_DAT_I               (I_DAT_I),
13698    .I_ACK_I               (I_ACK_I),
13699    .I_ERR_I               (I_ERR_I),
13700    .I_RTY_I               (I_RTY_I),
13701
13702
13703
13704    .D_DAT_I               (D_DAT_I),
13705    .D_ACK_I               (D_ACK_I),
13706    .D_ERR_I               (D_ERR_I),
13707    .D_RTY_I               (D_RTY_I),
13708
13709
13710
13711
13712
13713
13714
13715
13716
13717
13718
13719
13720
13721
13722    .jtag_reg_d            (jtag_reg_d),
13723    .jtag_reg_addr_d       (jtag_reg_addr_d),
13724
13725
13726
13727
13728
13729
13730
13731
13732
13733
13734
13735
13736    .I_DAT_O               (I_DAT_O),
13737    .I_ADR_O               (I_ADR_O),
13738    .I_CYC_O               (I_CYC_O),
13739    .I_SEL_O               (I_SEL_O),
13740    .I_STB_O               (I_STB_O),
13741    .I_WE_O                (I_WE_O),
13742    .I_CTI_O               (I_CTI_O),
13743    .I_LOCK_O              (I_LOCK_O),
13744    .I_BTE_O               (I_BTE_O),
13745
13746
13747
13748    .D_DAT_O               (D_DAT_O),
13749    .D_ADR_O               (D_ADR_O),
13750    .D_CYC_O               (D_CYC_O),
13751    .D_SEL_O               (D_SEL_O),
13752    .D_STB_O               (D_STB_O),
13753    .D_WE_O                (D_WE_O),
13754    .D_CTI_O               (D_CTI_O),
13755    .D_LOCK_O              (D_LOCK_O),
13756    .D_BTE_O               (D_BTE_O)
13757    );
13758
13759
13760
13761
13762jtag_cores jtag_cores (
13763
13764    .reg_d                 (jtag_reg_d),
13765    .reg_addr_d            (jtag_reg_addr_d),
13766
13767    .reg_update            (jtag_update),
13768    .reg_q                 (jtag_reg_q),
13769    .reg_addr_q            (jtag_reg_addr_q),
13770    .jtck                  (jtck),
13771    .jrstn                 (jrstn)
13772    );
13773
13774
13775
13776endmodule
13777
13778
13779
13780
13781
13782
13783
13784
13785
13786
13787
13788
13789
13790
13791
13792
13793
13794
13795
13796
13797
13798
13799
13800
13801
13802
13803
13804
13805
13806
13807
13808
13809
13810
13811
13812
13813
13814
13815
13816
13817
13818
13819
13820
13821
13822
13823
13824
13825
13826
13827
13828
13829
13830
13831
13832
13833
13834
13835
13836
13837
13838
13839
13840
13841
13842
13843
13844
13845
13846
13847
13848
13849
13850
13851
13852
13853
13854
13855
13856
13857
13858
13859
13860
13861
13862
13863
13864
13865
13866
13867
13868
13869
13870
13871
13872
13873
13874
13875
13876
13877
13878
13879
13880
13881
13882
13883
13884
13885
13886
13887
13888
13889
13890
13891
13892
13893
13894
13895
13896
13897
13898
13899
13900
13901
13902
13903
13904
13905
13906
13907
13908
13909
13910
13911
13912
13913
13914
13915
13916
13917
13918
13919
13920
13921
13922
13923
13924
13925
13926
13927
13928
13929
13930
13931
13932
13933
13934
13935
13936
13937
13938
13939
13940
13941
13942
13943
13944
13945
13946
13947
13948
13949
13950
13951
13952
13953
13954
13955
13956
13957
13958
13959
13960
13961
13962
13963
13964
13965
13966
13967
13968
13969
13970
13971
13972
13973
13974
13975
13976
13977
13978
13979
13980
13981
13982
13983
13984
13985
13986
13987
13988
13989
13990
13991
13992
13993
13994
13995
13996
13997
13998
13999
14000
14001
14002
14003
14004
14005
14006
14007
14008
14009
14010
14011
14012
14013
14014
14015
14016
14017
14018
14019
14020
14021
14022
14023
14024
14025
14026
14027
14028
14029
14030
14031
14032
14033
14034
14035
14036
14037
14038
14039
14040
14041
14042
14043
14044
14045
14046
14047
14048
14049
14050
14051
14052
14053
14054
14055
14056
14057
14058
14059
14060
14061
14062
14063
14064
14065
14066
14067
14068
14069
14070
14071
14072
14073
14074
14075
14076
14077
14078
14079
14080
14081
14082
14083
14084
14085
14086
14087
14088
14089
14090
14091
14092
14093
14094
14095
14096
14097
14098
14099
14100
14101
14102
14103
14104
14105
14106
14107
14108
14109
14110
14111
14112
14113
14114
14115
14116
14117
14118
14119
14120
14121
14122
14123
14124
14125
14126
14127
14128
14129
14130
14131
14132
14133
14134
14135
14136
14137
14138
14139
14140
14141
14142
14143
14144
14145
14146
14147
14148
14149
14150
14151
14152module lm32_mc_arithmetic_full_debug (
14153
14154    clk_i,
14155    rst_i,
14156    stall_d,
14157    kill_x,
14158
14159
14160    divide_d,
14161    modulus_d,
14162
14163
14164
14165
14166
14167
14168
14169
14170
14171
14172
14173
14174    operand_0_d,
14175    operand_1_d,
14176
14177    result_x,
14178
14179
14180    divide_by_zero_x,
14181
14182
14183    stall_request_x
14184    );
14185
14186
14187
14188
14189
14190input clk_i;
14191input rst_i;
14192input stall_d;
14193input kill_x;
14194
14195
14196input divide_d;
14197input modulus_d;
14198
14199
14200
14201
14202
14203
14204
14205
14206
14207
14208
14209
14210input [ (32-1):0] operand_0_d;
14211input [ (32-1):0] operand_1_d;
14212
14213
14214
14215
14216
14217output [ (32-1):0] result_x;
14218reg    [ (32-1):0] result_x;
14219
14220
14221output divide_by_zero_x;
14222reg    divide_by_zero_x;
14223
14224
14225output stall_request_x;
14226wire   stall_request_x;
14227
14228
14229
14230
14231
14232reg [ (32-1):0] p;
14233reg [ (32-1):0] a;
14234reg [ (32-1):0] b;
14235
14236
14237wire [32:0] t;
14238
14239
14240
14241reg [ 2:0] state;
14242reg [5:0] cycles;
14243
14244
14245
14246
14247
14248
14249
14250
14251
14252
14253
14254
14255assign stall_request_x = state !=  3'b000;
14256
14257
14258
14259
14260assign t = {p[ 32-2:0], a[ 32-1]} - b;
14261
14262
14263
14264
14265
14266
14267
14268
14269
14270
14271
14272
14273
14274
14275always @(posedge clk_i  )
14276begin
14277    if (rst_i ==  1'b1)
14278    begin
14279        cycles <= {6{1'b0}};
14280        p <= { 32{1'b0}};
14281        a <= { 32{1'b0}};
14282        b <= { 32{1'b0}};
14283
14284
14285
14286
14287
14288
14289        divide_by_zero_x <=  1'b0;
14290
14291
14292        result_x <= { 32{1'b0}};
14293        state <=  3'b000;
14294    end
14295    else
14296    begin
14297
14298
14299        divide_by_zero_x <=  1'b0;
14300
14301
14302        case (state)
14303         3'b000:
14304        begin
14305            if (stall_d ==  1'b0)
14306            begin
14307                cycles <=  32;
14308                p <= 32'b0;
14309                a <= operand_0_d;
14310                b <= operand_1_d;
14311
14312
14313                if (divide_d ==  1'b1)
14314                    state <=  3'b011 ;
14315                if (modulus_d ==  1'b1)
14316                    state <=  3'b010   ;
14317
14318
14319
14320
14321
14322
14323
14324
14325
14326
14327
14328
14329
14330
14331
14332
14333
14334
14335
14336
14337
14338
14339
14340
14341
14342
14343            end
14344        end
14345
14346
14347         3'b011 :
14348        begin
14349            if (t[32] == 1'b0)
14350            begin
14351                p <= t[31:0];
14352                a <= {a[ 32-2:0], 1'b1};
14353            end
14354            else
14355            begin
14356                p <= {p[ 32-2:0], a[ 32-1]};
14357                a <= {a[ 32-2:0], 1'b0};
14358            end
14359            result_x <= a;
14360            if ((cycles ==  32'd0) || (kill_x ==  1'b1))
14361            begin
14362
14363                divide_by_zero_x <= b == { 32{1'b0}};
14364                state <=  3'b000;
14365            end
14366            cycles <= cycles - 1'b1;
14367        end
14368         3'b010   :
14369        begin
14370            if (t[32] == 1'b0)
14371            begin
14372                p <= t[31:0];
14373                a <= {a[ 32-2:0], 1'b1};
14374            end
14375            else
14376            begin
14377                p <= {p[ 32-2:0], a[ 32-1]};
14378                a <= {a[ 32-2:0], 1'b0};
14379            end
14380            result_x <= p;
14381            if ((cycles ==  32'd0) || (kill_x ==  1'b1))
14382            begin
14383
14384                divide_by_zero_x <= b == { 32{1'b0}};
14385                state <=  3'b000;
14386            end
14387            cycles <= cycles - 1'b1;
14388        end
14389
14390
14391
14392
14393
14394
14395
14396
14397
14398
14399
14400
14401
14402
14403
14404
14405
14406
14407
14408
14409
14410
14411
14412
14413
14414
14415
14416
14417
14418
14419
14420
14421
14422
14423
14424        endcase
14425    end
14426end
14427
14428endmodule
14429
14430
14431
14432
14433
14434
14435
14436
14437
14438
14439
14440
14441
14442
14443
14444
14445
14446
14447
14448
14449
14450
14451
14452
14453
14454
14455
14456
14457
14458
14459
14460
14461
14462
14463
14464
14465
14466
14467
14468
14469
14470
14471
14472
14473
14474
14475
14476
14477
14478
14479
14480
14481
14482
14483
14484
14485
14486
14487
14488
14489
14490
14491
14492
14493
14494
14495
14496
14497
14498
14499
14500
14501
14502
14503
14504
14505
14506
14507
14508
14509
14510
14511
14512
14513
14514
14515
14516
14517
14518
14519
14520
14521
14522
14523
14524
14525
14526
14527
14528
14529
14530
14531
14532
14533
14534
14535
14536
14537
14538
14539
14540
14541
14542
14543
14544
14545
14546
14547
14548
14549
14550
14551
14552
14553
14554
14555
14556
14557
14558
14559
14560
14561
14562
14563
14564
14565
14566
14567
14568
14569
14570
14571
14572
14573
14574
14575
14576
14577
14578
14579
14580
14581
14582
14583
14584
14585
14586
14587
14588
14589
14590
14591
14592
14593
14594
14595
14596
14597
14598
14599
14600
14601
14602
14603
14604
14605
14606
14607
14608
14609
14610
14611
14612
14613
14614
14615
14616
14617
14618
14619
14620
14621
14622
14623
14624
14625
14626
14627
14628
14629
14630
14631
14632
14633
14634
14635
14636
14637
14638
14639
14640
14641
14642
14643
14644
14645
14646
14647
14648
14649
14650
14651
14652
14653
14654
14655
14656
14657
14658
14659
14660
14661
14662
14663
14664
14665
14666
14667
14668
14669
14670
14671
14672
14673
14674
14675
14676
14677
14678
14679
14680
14681
14682
14683
14684
14685
14686
14687
14688
14689
14690
14691
14692
14693
14694
14695
14696
14697
14698
14699
14700
14701
14702
14703
14704
14705
14706
14707
14708
14709
14710
14711
14712
14713
14714
14715
14716
14717
14718
14719
14720
14721
14722
14723
14724
14725
14726
14727
14728
14729
14730
14731
14732
14733
14734
14735
14736
14737
14738
14739
14740
14741
14742
14743
14744
14745
14746
14747
14748
14749
14750
14751
14752
14753
14754
14755
14756
14757
14758
14759
14760
14761
14762
14763
14764
14765
14766
14767
14768
14769
14770
14771
14772
14773
14774
14775
14776
14777
14778
14779
14780
14781
14782
14783
14784
14785
14786
14787
14788
14789
14790
14791
14792
14793
14794
14795
14796
14797
14798
14799
14800
14801
14802
14803
14804
14805
14806
14807
14808
14809
14810
14811
14812
14813
14814
14815
14816
14817
14818
14819
14820
14821
14822
14823
14824
14825module lm32_cpu_full_debug (
14826
14827    clk_i,
14828
14829
14830
14831
14832    rst_i,
14833
14834
14835
14836
14837
14838
14839
14840
14841
14842
14843
14844
14845
14846
14847
14848
14849
14850    interrupt,
14851
14852
14853
14854
14855
14856
14857
14858
14859
14860
14861
14862    jtag_clk,
14863    jtag_update,
14864    jtag_reg_q,
14865    jtag_reg_addr_q,
14866
14867
14868
14869
14870
14871    I_DAT_I,
14872    I_ACK_I,
14873    I_ERR_I,
14874    I_RTY_I,
14875
14876
14877
14878    D_DAT_I,
14879    D_ACK_I,
14880    D_ERR_I,
14881    D_RTY_I,
14882
14883
14884
14885
14886
14887
14888
14889
14890
14891
14892
14893
14894
14895
14896    jtag_reg_d,
14897    jtag_reg_addr_d,
14898
14899
14900
14901
14902
14903
14904
14905
14906
14907
14908
14909
14910    I_DAT_O,
14911    I_ADR_O,
14912    I_CYC_O,
14913    I_SEL_O,
14914    I_STB_O,
14915    I_WE_O,
14916    I_CTI_O,
14917    I_LOCK_O,
14918    I_BTE_O,
14919
14920
14921
14922
14923
14924
14925
14926
14927
14928
14929
14930
14931
14932
14933
14934
14935
14936    D_DAT_O,
14937    D_ADR_O,
14938    D_CYC_O,
14939    D_SEL_O,
14940    D_STB_O,
14941    D_WE_O,
14942    D_CTI_O,
14943    D_LOCK_O,
14944    D_BTE_O
14945
14946
14947    );
14948
14949
14950
14951
14952
14953parameter eba_reset =  32'h00000000;
14954
14955
14956parameter deba_reset =  32'h10000000;
14957
14958
14959parameter sdb_address =   32'h00000000;
14960
14961
14962
14963parameter icache_associativity =  1;
14964parameter icache_sets =  256;
14965parameter icache_bytes_per_line =  16;
14966parameter icache_base_address =  32'h0;
14967parameter icache_limit =  32'h7fffffff;
14968
14969
14970
14971
14972
14973
14974
14975
14976
14977
14978
14979parameter dcache_associativity =  1;
14980parameter dcache_sets =  256;
14981parameter dcache_bytes_per_line =  16;
14982parameter dcache_base_address =  32'h0;
14983parameter dcache_limit =  32'h7fffffff;
14984
14985
14986
14987
14988
14989
14990
14991
14992
14993
14994
14995parameter watchpoints =  32'h4;
14996
14997
14998
14999
15000
15001
15002
15003
15004parameter breakpoints = 0;
15005
15006
15007
15008
15009
15010parameter interrupts =  32;
15011
15012
15013
15014
15015
15016
15017
15018
15019
15020input clk_i;
15021
15022
15023
15024
15025input rst_i;
15026
15027
15028
15029input [ (32-1):0] interrupt;
15030
15031
15032
15033
15034
15035
15036
15037
15038
15039
15040
15041input jtag_clk;
15042input jtag_update;
15043input [ 7:0] jtag_reg_q;
15044input [2:0] jtag_reg_addr_q;
15045
15046
15047
15048
15049
15050input [ (32-1):0] I_DAT_I;
15051input I_ACK_I;
15052input I_ERR_I;
15053input I_RTY_I;
15054
15055
15056
15057input [ (32-1):0] D_DAT_I;
15058input D_ACK_I;
15059input D_ERR_I;
15060input D_RTY_I;
15061
15062
15063
15064
15065
15066
15067
15068
15069
15070
15071
15072
15073
15074
15075
15076
15077
15078
15079
15080
15081
15082
15083
15084
15085
15086
15087
15088
15089
15090
15091
15092
15093output [ 7:0] jtag_reg_d;
15094wire   [ 7:0] jtag_reg_d;
15095output [2:0] jtag_reg_addr_d;
15096wire   [2:0] jtag_reg_addr_d;
15097
15098
15099
15100
15101
15102
15103
15104
15105
15106
15107
15108
15109
15110
15111
15112
15113
15114output [ (32-1):0] I_DAT_O;
15115wire   [ (32-1):0] I_DAT_O;
15116output [ (32-1):0] I_ADR_O;
15117wire   [ (32-1):0] I_ADR_O;
15118output I_CYC_O;
15119wire   I_CYC_O;
15120output [ (4-1):0] I_SEL_O;
15121wire   [ (4-1):0] I_SEL_O;
15122output I_STB_O;
15123wire   I_STB_O;
15124output I_WE_O;
15125wire   I_WE_O;
15126output [ (3-1):0] I_CTI_O;
15127wire   [ (3-1):0] I_CTI_O;
15128output I_LOCK_O;
15129wire   I_LOCK_O;
15130output [ (2-1):0] I_BTE_O;
15131wire   [ (2-1):0] I_BTE_O;
15132
15133
15134
15135output [ (32-1):0] D_DAT_O;
15136wire   [ (32-1):0] D_DAT_O;
15137output [ (32-1):0] D_ADR_O;
15138wire   [ (32-1):0] D_ADR_O;
15139output D_CYC_O;
15140wire   D_CYC_O;
15141output [ (4-1):0] D_SEL_O;
15142wire   [ (4-1):0] D_SEL_O;
15143output D_STB_O;
15144wire   D_STB_O;
15145output D_WE_O;
15146wire   D_WE_O;
15147output [ (3-1):0] D_CTI_O;
15148wire   [ (3-1):0] D_CTI_O;
15149output D_LOCK_O;
15150wire   D_LOCK_O;
15151output [ (2-1):0] D_BTE_O;
15152wire   [ (2-1):0] D_BTE_O;
15153
15154
15155
15156
15157
15158
15159
15160
15161
15162
15163
15164
15165
15166
15167
15168
15169
15170
15171reg valid_a;
15172
15173
15174reg valid_f;
15175reg valid_d;
15176reg valid_x;
15177reg valid_m;
15178reg valid_w;
15179
15180wire q_x;
15181wire [ (32-1):0] immediate_d;
15182wire load_d;
15183reg load_x;
15184reg load_m;
15185wire load_q_x;
15186wire store_q_x;
15187wire q_m;
15188wire load_q_m;
15189wire store_q_m;
15190wire store_d;
15191reg store_x;
15192reg store_m;
15193wire [ 1:0] size_d;
15194reg [ 1:0] size_x;
15195wire branch_d;
15196wire branch_predict_d;
15197wire branch_predict_taken_d;
15198wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_predict_address_d;
15199wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_target_d;
15200wire bi_unconditional;
15201wire bi_conditional;
15202reg branch_x;
15203reg branch_predict_x;
15204reg branch_predict_taken_x;
15205reg branch_m;
15206reg branch_predict_m;
15207reg branch_predict_taken_m;
15208wire branch_mispredict_taken_m;
15209wire branch_flushX_m;
15210wire branch_reg_d;
15211wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_offset_d;
15212reg [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_target_x;
15213reg [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_target_m;
15214wire [ 0:0] d_result_sel_0_d;
15215wire [ 1:0] d_result_sel_1_d;
15216
15217wire x_result_sel_csr_d;
15218reg x_result_sel_csr_x;
15219
15220
15221wire q_d;
15222wire x_result_sel_mc_arith_d;
15223reg x_result_sel_mc_arith_x;
15224
15225
15226
15227
15228
15229
15230
15231
15232
15233wire x_result_sel_sext_d;
15234reg x_result_sel_sext_x;
15235
15236
15237wire x_result_sel_logic_d;
15238
15239
15240
15241
15242
15243wire x_result_sel_add_d;
15244reg x_result_sel_add_x;
15245wire m_result_sel_compare_d;
15246reg m_result_sel_compare_x;
15247reg m_result_sel_compare_m;
15248
15249
15250wire m_result_sel_shift_d;
15251reg m_result_sel_shift_x;
15252reg m_result_sel_shift_m;
15253
15254
15255wire w_result_sel_load_d;
15256reg w_result_sel_load_x;
15257reg w_result_sel_load_m;
15258reg w_result_sel_load_w;
15259
15260
15261wire w_result_sel_mul_d;
15262reg w_result_sel_mul_x;
15263reg w_result_sel_mul_m;
15264reg w_result_sel_mul_w;
15265
15266
15267wire x_bypass_enable_d;
15268reg x_bypass_enable_x;
15269wire m_bypass_enable_d;
15270reg m_bypass_enable_x;
15271reg m_bypass_enable_m;
15272wire sign_extend_d;
15273reg sign_extend_x;
15274wire write_enable_d;
15275reg write_enable_x;
15276wire write_enable_q_x;
15277reg write_enable_m;
15278wire write_enable_q_m;
15279reg write_enable_w;
15280wire write_enable_q_w;
15281wire read_enable_0_d;
15282wire [ (5-1):0] read_idx_0_d;
15283wire read_enable_1_d;
15284wire [ (5-1):0] read_idx_1_d;
15285wire [ (5-1):0] write_idx_d;
15286reg [ (5-1):0] write_idx_x;
15287reg [ (5-1):0] write_idx_m;
15288reg [ (5-1):0] write_idx_w;
15289wire [ (5-1):0] csr_d;
15290reg  [ (5-1):0] csr_x;
15291wire [ (3-1):0] condition_d;
15292reg [ (3-1):0] condition_x;
15293
15294
15295wire break_d;
15296reg break_x;
15297
15298
15299wire scall_d;
15300reg scall_x;
15301wire eret_d;
15302reg eret_x;
15303wire eret_q_x;
15304
15305
15306
15307
15308
15309
15310
15311wire bret_d;
15312reg bret_x;
15313wire bret_q_x;
15314
15315
15316
15317
15318
15319
15320
15321wire csr_write_enable_d;
15322reg csr_write_enable_x;
15323wire csr_write_enable_q_x;
15324
15325
15326
15327
15328
15329
15330
15331wire bus_error_d;
15332reg bus_error_x;
15333reg data_bus_error_exception_m;
15334reg [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] memop_pc_w;
15335
15336
15337
15338reg [ (32-1):0] d_result_0;
15339reg [ (32-1):0] d_result_1;
15340reg [ (32-1):0] x_result;
15341reg [ (32-1):0] m_result;
15342reg [ (32-1):0] w_result;
15343
15344reg [ (32-1):0] operand_0_x;
15345reg [ (32-1):0] operand_1_x;
15346reg [ (32-1):0] store_operand_x;
15347reg [ (32-1):0] operand_m;
15348reg [ (32-1):0] operand_w;
15349
15350
15351
15352
15353reg [ (32-1):0] reg_data_live_0;
15354reg [ (32-1):0] reg_data_live_1;
15355reg use_buf;
15356reg [ (32-1):0] reg_data_buf_0;
15357reg [ (32-1):0] reg_data_buf_1;
15358
15359
15360
15361
15362
15363
15364
15365
15366wire [ (32-1):0] reg_data_0;
15367wire [ (32-1):0] reg_data_1;
15368reg [ (32-1):0] bypass_data_0;
15369reg [ (32-1):0] bypass_data_1;
15370wire reg_write_enable_q_w;
15371
15372reg interlock;
15373
15374wire stall_a;
15375wire stall_f;
15376wire stall_d;
15377wire stall_x;
15378wire stall_m;
15379
15380
15381wire adder_op_d;
15382reg adder_op_x;
15383reg adder_op_x_n;
15384wire [ (32-1):0] adder_result_x;
15385wire adder_overflow_x;
15386wire adder_carry_n_x;
15387
15388
15389wire [ 3:0] logic_op_d;
15390reg [ 3:0] logic_op_x;
15391wire [ (32-1):0] logic_result_x;
15392
15393
15394
15395
15396wire [ (32-1):0] sextb_result_x;
15397wire [ (32-1):0] sexth_result_x;
15398wire [ (32-1):0] sext_result_x;
15399
15400
15401
15402
15403
15404
15405
15406
15407
15408
15409
15410wire direction_d;
15411reg direction_x;
15412wire [ (32-1):0] shifter_result_m;
15413
15414
15415
15416
15417
15418
15419
15420
15421
15422
15423
15424
15425
15426
15427
15428
15429
15430wire [ (32-1):0] multiplier_result_w;
15431
15432
15433
15434
15435
15436
15437
15438
15439
15440
15441
15442wire divide_d;
15443wire divide_q_d;
15444wire modulus_d;
15445wire modulus_q_d;
15446wire divide_by_zero_x;
15447
15448
15449
15450
15451
15452
15453wire mc_stall_request_x;
15454wire [ (32-1):0] mc_result_x;
15455
15456
15457
15458
15459
15460
15461wire [ (32-1):0] interrupt_csr_read_data_x;
15462
15463
15464wire [ (32-1):0] cfg;
15465wire [ (32-1):0] cfg2;
15466
15467
15468
15469
15470reg [ (32-1):0] csr_read_data_x;
15471
15472
15473wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_f;
15474wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_d;
15475wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_x;
15476wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_m;
15477wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_w;
15478
15479
15480
15481
15482
15483
15484wire [ (32-1):0] instruction_f;
15485
15486
15487
15488
15489wire [ (32-1):0] instruction_d;
15490
15491
15492wire iflush;
15493wire icache_stall_request;
15494wire icache_restart_request;
15495wire icache_refill_request;
15496wire icache_refilling;
15497
15498
15499
15500
15501
15502
15503wire dflush_x;
15504reg dflush_m;
15505wire dcache_stall_request;
15506wire dcache_restart_request;
15507wire dcache_refill_request;
15508wire dcache_refilling;
15509
15510
15511wire [ (32-1):0] load_data_w;
15512wire stall_wb_load;
15513
15514
15515
15516
15517
15518
15519wire [ (32-1):0] jtx_csr_read_data;
15520wire [ (32-1):0] jrx_csr_read_data;
15521
15522
15523
15524
15525wire jtag_csr_write_enable;
15526wire [ (32-1):0] jtag_csr_write_data;
15527wire [ (5-1):0] jtag_csr;
15528wire jtag_read_enable;
15529wire [ 7:0] jtag_read_data;
15530wire jtag_write_enable;
15531wire [ 7:0] jtag_write_data;
15532wire [ (32-1):0] jtag_address;
15533wire jtag_access_complete;
15534
15535
15536
15537
15538wire jtag_break;
15539
15540
15541
15542
15543
15544
15545wire raw_x_0;
15546wire raw_x_1;
15547wire raw_m_0;
15548wire raw_m_1;
15549wire raw_w_0;
15550wire raw_w_1;
15551
15552
15553wire cmp_zero;
15554wire cmp_negative;
15555wire cmp_overflow;
15556wire cmp_carry_n;
15557reg condition_met_x;
15558reg condition_met_m;
15559
15560
15561wire branch_taken_x;
15562
15563
15564wire branch_taken_m;
15565
15566wire kill_f;
15567wire kill_d;
15568wire kill_x;
15569wire kill_m;
15570wire kill_w;
15571
15572reg [ (clogb2(32'h7fffffff-32'h0)-2)+2-1:8] eba;
15573
15574
15575reg [ (clogb2(32'h7fffffff-32'h0)-2)+2-1:8] deba;
15576
15577
15578reg [ (3-1):0] eid_x;
15579
15580
15581
15582
15583
15584
15585
15586
15587
15588
15589wire dc_ss;
15590
15591
15592wire dc_re;
15593wire bp_match;
15594wire wp_match;
15595wire exception_x;
15596reg exception_m;
15597wire debug_exception_x;
15598reg debug_exception_m;
15599reg debug_exception_w;
15600wire debug_exception_q_w;
15601wire non_debug_exception_x;
15602reg non_debug_exception_m;
15603reg non_debug_exception_w;
15604wire non_debug_exception_q_w;
15605
15606
15607
15608
15609
15610
15611
15612
15613
15614
15615
15616
15617wire reset_exception;
15618
15619
15620
15621
15622
15623
15624
15625
15626
15627
15628wire interrupt_exception;
15629
15630
15631
15632
15633wire breakpoint_exception;
15634wire watchpoint_exception;
15635
15636
15637
15638
15639   reg [ (32-1):0] data_bus_error_addr;
15640
15641wire instruction_bus_error_exception;
15642wire data_bus_error_exception;
15643
15644
15645
15646
15647wire divide_by_zero_exception;
15648
15649
15650wire system_call_exception;
15651
15652
15653
15654reg data_bus_error_seen;
15655
15656
15657
15658
15659
15660
15661
15662
15663
15664
15665
15666
15667
15668
15669
15670
15671
15672
15673
15674
15675
15676
15677
15678
15679
15680
15681
15682
15683
15684
15685
15686
15687
15688
15689
15690
15691
15692
15693
15694
15695
15696
15697
15698
15699
15700
15701
15702
15703
15704
15705
15706
15707
15708
15709
15710
15711
15712
15713
15714
15715
15716function integer clogb2;
15717input [31:0] value;
15718begin
15719   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
15720        value = value >> 1;
15721end
15722endfunction
15723
15724function integer clogb2_v1;
15725input [31:0] value;
15726reg   [31:0] i;
15727reg   [31:0] temp;
15728begin
15729   temp = 0;
15730   i    = 0;
15731   for (i = 0; temp < value; i = i + 1)
15732	temp = 1<<i;
15733   clogb2_v1 = i-1;
15734end
15735endfunction
15736
15737
15738
15739
15740
15741
15742
15743
15744
15745lm32_instruction_unit_full_debug #(
15746    .eba_reset              (eba_reset),
15747    .associativity          (icache_associativity),
15748    .sets                   (icache_sets),
15749    .bytes_per_line         (icache_bytes_per_line),
15750    .base_address           (icache_base_address),
15751    .limit                  (icache_limit)
15752  ) instruction_unit (
15753
15754    .clk_i                  (clk_i),
15755    .rst_i                  (rst_i),
15756
15757    .stall_a                (stall_a),
15758    .stall_f                (stall_f),
15759    .stall_d                (stall_d),
15760    .stall_x                (stall_x),
15761    .stall_m                (stall_m),
15762    .valid_f                (valid_f),
15763    .valid_d                (valid_d),
15764    .kill_f                 (kill_f),
15765    .branch_predict_taken_d (branch_predict_taken_d),
15766    .branch_predict_address_d (branch_predict_address_d),
15767
15768
15769    .branch_taken_x         (branch_taken_x),
15770    .branch_target_x        (branch_target_x),
15771
15772
15773    .exception_m            (exception_m),
15774    .branch_taken_m         (branch_taken_m),
15775    .branch_mispredict_taken_m (branch_mispredict_taken_m),
15776    .branch_target_m        (branch_target_m),
15777
15778
15779    .iflush                 (iflush),
15780
15781
15782
15783
15784    .dcache_restart_request (dcache_restart_request),
15785    .dcache_refill_request  (dcache_refill_request),
15786    .dcache_refilling       (dcache_refilling),
15787
15788
15789
15790
15791
15792    .i_dat_i                (I_DAT_I),
15793    .i_ack_i                (I_ACK_I),
15794    .i_err_i                (I_ERR_I),
15795    .i_rty_i                (I_RTY_I),
15796
15797
15798
15799
15800    .jtag_read_enable       (jtag_read_enable),
15801    .jtag_write_enable      (jtag_write_enable),
15802    .jtag_write_data        (jtag_write_data),
15803    .jtag_address           (jtag_address),
15804
15805
15806
15807
15808    .pc_f                   (pc_f),
15809    .pc_d                   (pc_d),
15810    .pc_x                   (pc_x),
15811    .pc_m                   (pc_m),
15812    .pc_w                   (pc_w),
15813
15814
15815    .icache_stall_request   (icache_stall_request),
15816    .icache_restart_request (icache_restart_request),
15817    .icache_refill_request  (icache_refill_request),
15818    .icache_refilling       (icache_refilling),
15819
15820
15821
15822
15823
15824    .i_dat_o                (I_DAT_O),
15825    .i_adr_o                (I_ADR_O),
15826    .i_cyc_o                (I_CYC_O),
15827    .i_sel_o                (I_SEL_O),
15828    .i_stb_o                (I_STB_O),
15829    .i_we_o                 (I_WE_O),
15830    .i_cti_o                (I_CTI_O),
15831    .i_lock_o               (I_LOCK_O),
15832    .i_bte_o                (I_BTE_O),
15833
15834
15835
15836
15837
15838
15839
15840
15841
15842
15843
15844
15845    .jtag_read_data         (jtag_read_data),
15846    .jtag_access_complete   (jtag_access_complete),
15847
15848
15849
15850
15851    .bus_error_d            (bus_error_d),
15852
15853
15854
15855
15856    .instruction_f          (instruction_f),
15857
15858
15859
15860
15861    .instruction_d          (instruction_d)
15862
15863
15864
15865    );
15866
15867
15868lm32_decoder_full_debug decoder (
15869
15870    .instruction            (instruction_d),
15871
15872    .d_result_sel_0         (d_result_sel_0_d),
15873    .d_result_sel_1         (d_result_sel_1_d),
15874    .x_result_sel_csr       (x_result_sel_csr_d),
15875
15876
15877    .x_result_sel_mc_arith  (x_result_sel_mc_arith_d),
15878
15879
15880
15881
15882
15883
15884
15885
15886    .x_result_sel_sext      (x_result_sel_sext_d),
15887
15888
15889    .x_result_sel_logic     (x_result_sel_logic_d),
15890
15891
15892
15893
15894    .x_result_sel_add       (x_result_sel_add_d),
15895    .m_result_sel_compare   (m_result_sel_compare_d),
15896
15897
15898    .m_result_sel_shift     (m_result_sel_shift_d),
15899
15900
15901    .w_result_sel_load      (w_result_sel_load_d),
15902
15903
15904    .w_result_sel_mul       (w_result_sel_mul_d),
15905
15906
15907    .x_bypass_enable        (x_bypass_enable_d),
15908    .m_bypass_enable        (m_bypass_enable_d),
15909    .read_enable_0          (read_enable_0_d),
15910    .read_idx_0             (read_idx_0_d),
15911    .read_enable_1          (read_enable_1_d),
15912    .read_idx_1             (read_idx_1_d),
15913    .write_enable           (write_enable_d),
15914    .write_idx              (write_idx_d),
15915    .immediate              (immediate_d),
15916    .branch_offset          (branch_offset_d),
15917    .load                   (load_d),
15918    .store                  (store_d),
15919    .size                   (size_d),
15920    .sign_extend            (sign_extend_d),
15921    .adder_op               (adder_op_d),
15922    .logic_op               (logic_op_d),
15923
15924
15925    .direction              (direction_d),
15926
15927
15928
15929
15930
15931
15932
15933
15934
15935
15936
15937
15938
15939    .divide                 (divide_d),
15940    .modulus                (modulus_d),
15941
15942
15943    .branch                 (branch_d),
15944    .bi_unconditional       (bi_unconditional),
15945    .bi_conditional         (bi_conditional),
15946    .branch_reg             (branch_reg_d),
15947    .condition              (condition_d),
15948
15949
15950    .break_opcode           (break_d),
15951
15952
15953    .scall                  (scall_d),
15954    .eret                   (eret_d),
15955
15956
15957    .bret                   (bret_d),
15958
15959
15960
15961
15962
15963
15964    .csr_write_enable       (csr_write_enable_d)
15965    );
15966
15967
15968lm32_load_store_unit_full_debug #(
15969    .associativity          (dcache_associativity),
15970    .sets                   (dcache_sets),
15971    .bytes_per_line         (dcache_bytes_per_line),
15972    .base_address           (dcache_base_address),
15973    .limit                  (dcache_limit)
15974  ) load_store_unit (
15975
15976    .clk_i                  (clk_i),
15977    .rst_i                  (rst_i),
15978
15979    .stall_a                (stall_a),
15980    .stall_x                (stall_x),
15981    .stall_m                (stall_m),
15982    .kill_x                 (kill_x),
15983    .kill_m                 (kill_m),
15984    .exception_m            (exception_m),
15985    .store_operand_x        (store_operand_x),
15986    .load_store_address_x   (adder_result_x),
15987    .load_store_address_m   (operand_m),
15988    .load_store_address_w   (operand_w[1:0]),
15989    .load_x                 (load_x),
15990    .store_x                (store_x),
15991    .load_q_x               (load_q_x),
15992    .store_q_x              (store_q_x),
15993    .load_q_m               (load_q_m),
15994    .store_q_m              (store_q_m),
15995    .sign_extend_x          (sign_extend_x),
15996    .size_x                 (size_x),
15997
15998
15999    .dflush                 (dflush_m),
16000
16001
16002
16003
16004
16005
16006
16007
16008
16009
16010
16011
16012
16013
16014
16015    .d_dat_i                (D_DAT_I),
16016    .d_ack_i                (D_ACK_I),
16017    .d_err_i                (D_ERR_I),
16018    .d_rty_i                (D_RTY_I),
16019
16020
16021
16022
16023    .dcache_refill_request  (dcache_refill_request),
16024    .dcache_restart_request (dcache_restart_request),
16025    .dcache_stall_request   (dcache_stall_request),
16026    .dcache_refilling       (dcache_refilling),
16027
16028
16029    .load_data_w            (load_data_w),
16030    .stall_wb_load          (stall_wb_load),
16031
16032    .d_dat_o                (D_DAT_O),
16033    .d_adr_o                (D_ADR_O),
16034    .d_cyc_o                (D_CYC_O),
16035    .d_sel_o                (D_SEL_O),
16036    .d_stb_o                (D_STB_O),
16037    .d_we_o                 (D_WE_O),
16038    .d_cti_o                (D_CTI_O),
16039    .d_lock_o               (D_LOCK_O),
16040    .d_bte_o                (D_BTE_O)
16041    );
16042
16043
16044lm32_adder adder (
16045
16046    .adder_op_x             (adder_op_x),
16047    .adder_op_x_n           (adder_op_x_n),
16048    .operand_0_x            (operand_0_x),
16049    .operand_1_x            (operand_1_x),
16050
16051    .adder_result_x         (adder_result_x),
16052    .adder_carry_n_x        (adder_carry_n_x),
16053    .adder_overflow_x       (adder_overflow_x)
16054    );
16055
16056
16057lm32_logic_op logic_op (
16058
16059    .logic_op_x             (logic_op_x),
16060    .operand_0_x            (operand_0_x),
16061
16062    .operand_1_x            (operand_1_x),
16063
16064    .logic_result_x         (logic_result_x)
16065    );
16066
16067
16068
16069
16070lm32_shifter shifter (
16071
16072    .clk_i                  (clk_i),
16073    .rst_i                  (rst_i),
16074    .stall_x                (stall_x),
16075    .direction_x            (direction_x),
16076    .sign_extend_x          (sign_extend_x),
16077    .operand_0_x            (operand_0_x),
16078    .operand_1_x            (operand_1_x),
16079
16080    .shifter_result_m       (shifter_result_m)
16081    );
16082
16083
16084
16085
16086
16087
16088lm32_multiplier multiplier (
16089
16090    .clk_i                  (clk_i),
16091    .rst_i                  (rst_i),
16092    .stall_x                (stall_x),
16093    .stall_m                (stall_m),
16094    .operand_0              (d_result_0),
16095    .operand_1              (d_result_1),
16096
16097    .result                 (multiplier_result_w)
16098    );
16099
16100
16101
16102
16103
16104
16105lm32_mc_arithmetic_full_debug mc_arithmetic (
16106
16107    .clk_i                  (clk_i),
16108    .rst_i                  (rst_i),
16109    .stall_d                (stall_d),
16110    .kill_x                 (kill_x),
16111
16112
16113    .divide_d               (divide_q_d),
16114    .modulus_d              (modulus_q_d),
16115
16116
16117
16118
16119
16120
16121
16122
16123
16124
16125
16126
16127    .operand_0_d            (d_result_0),
16128    .operand_1_d            (d_result_1),
16129
16130    .result_x               (mc_result_x),
16131
16132
16133    .divide_by_zero_x       (divide_by_zero_x),
16134
16135
16136    .stall_request_x        (mc_stall_request_x)
16137    );
16138
16139
16140
16141
16142
16143
16144lm32_interrupt_full_debug interrupt_unit (
16145
16146    .clk_i                  (clk_i),
16147    .rst_i                  (rst_i),
16148
16149    .interrupt              (interrupt),
16150
16151    .stall_x                (stall_x),
16152
16153
16154    .non_debug_exception    (non_debug_exception_q_w),
16155    .debug_exception        (debug_exception_q_w),
16156
16157
16158
16159
16160    .eret_q_x               (eret_q_x),
16161
16162
16163    .bret_q_x               (bret_q_x),
16164
16165
16166    .csr                    (csr_x),
16167    .csr_write_data         (operand_1_x),
16168    .csr_write_enable       (csr_write_enable_q_x),
16169
16170    .interrupt_exception    (interrupt_exception),
16171
16172    .csr_read_data          (interrupt_csr_read_data_x)
16173    );
16174
16175
16176
16177
16178
16179
16180
16181
16182
16183
16184
16185
16186
16187
16188
16189lm32_jtag_full_debug jtag (
16190
16191    .clk_i                  (clk_i),
16192    .rst_i                  (rst_i),
16193
16194    .jtag_clk               (jtag_clk),
16195    .jtag_update            (jtag_update),
16196    .jtag_reg_q             (jtag_reg_q),
16197    .jtag_reg_addr_q        (jtag_reg_addr_q),
16198
16199
16200
16201    .csr                    (csr_x),
16202    .csr_write_data         (operand_1_x),
16203    .csr_write_enable       (csr_write_enable_q_x),
16204    .stall_x                (stall_x),
16205
16206
16207
16208
16209    .jtag_read_data         (jtag_read_data),
16210    .jtag_access_complete   (jtag_access_complete),
16211
16212
16213
16214
16215    .exception_q_w          (debug_exception_q_w || non_debug_exception_q_w),
16216
16217
16218
16219
16220
16221
16222    .jtx_csr_read_data      (jtx_csr_read_data),
16223    .jrx_csr_read_data      (jrx_csr_read_data),
16224
16225
16226
16227
16228    .jtag_csr_write_enable  (jtag_csr_write_enable),
16229    .jtag_csr_write_data    (jtag_csr_write_data),
16230    .jtag_csr               (jtag_csr),
16231    .jtag_read_enable       (jtag_read_enable),
16232    .jtag_write_enable      (jtag_write_enable),
16233    .jtag_write_data        (jtag_write_data),
16234    .jtag_address           (jtag_address),
16235
16236
16237
16238
16239    .jtag_break             (jtag_break),
16240    .jtag_reset             (reset_exception),
16241
16242
16243
16244    .jtag_reg_d             (jtag_reg_d),
16245    .jtag_reg_addr_d        (jtag_reg_addr_d)
16246    );
16247
16248
16249
16250
16251
16252
16253lm32_debug_full_debug #(
16254    .breakpoints            (breakpoints),
16255    .watchpoints            (watchpoints)
16256  ) hw_debug (
16257
16258    .clk_i                  (clk_i),
16259    .rst_i                  (rst_i),
16260    .pc_x                   (pc_x),
16261    .load_x                 (load_x),
16262    .store_x                (store_x),
16263    .load_store_address_x   (adder_result_x),
16264    .csr_write_enable_x     (csr_write_enable_q_x),
16265    .csr_write_data         (operand_1_x),
16266    .csr_x                  (csr_x),
16267
16268
16269
16270
16271    .jtag_csr_write_enable  (jtag_csr_write_enable),
16272    .jtag_csr_write_data    (jtag_csr_write_data),
16273    .jtag_csr               (jtag_csr),
16274
16275
16276
16277
16278
16279
16280
16281
16282
16283
16284
16285
16286    .eret_q_x               (eret_q_x),
16287    .bret_q_x               (bret_q_x),
16288    .stall_x                (stall_x),
16289    .exception_x            (exception_x),
16290    .q_x                    (q_x),
16291
16292
16293    .dcache_refill_request  (dcache_refill_request),
16294
16295
16296
16297
16298
16299
16300
16301    .dc_ss                  (dc_ss),
16302
16303
16304    .dc_re                  (dc_re),
16305    .bp_match               (bp_match),
16306    .wp_match               (wp_match)
16307    );
16308
16309
16310
16311
16312
16313
16314
16315
16316
16317
16318
16319
16320
16321
16322
16323
16324
16325
16326   wire [31:0] regfile_data_0, regfile_data_1;
16327   reg [31:0]  w_result_d;
16328   reg 	       regfile_raw_0, regfile_raw_0_nxt;
16329   reg 	       regfile_raw_1, regfile_raw_1_nxt;
16330
16331
16332
16333
16334
16335   always @(reg_write_enable_q_w or write_idx_w or instruction_f)
16336     begin
16337	if (reg_write_enable_q_w
16338	    && (write_idx_w == instruction_f[25:21]))
16339	  regfile_raw_0_nxt = 1'b1;
16340	else
16341	  regfile_raw_0_nxt = 1'b0;
16342
16343	if (reg_write_enable_q_w
16344	    && (write_idx_w == instruction_f[20:16]))
16345	  regfile_raw_1_nxt = 1'b1;
16346	else
16347	  regfile_raw_1_nxt = 1'b0;
16348     end
16349
16350
16351
16352
16353
16354
16355   always @(regfile_raw_0 or w_result_d or regfile_data_0)
16356     if (regfile_raw_0)
16357       reg_data_live_0 = w_result_d;
16358     else
16359       reg_data_live_0 = regfile_data_0;
16360
16361
16362
16363
16364
16365
16366   always @(regfile_raw_1 or w_result_d or regfile_data_1)
16367     if (regfile_raw_1)
16368       reg_data_live_1 = w_result_d;
16369     else
16370       reg_data_live_1 = regfile_data_1;
16371
16372
16373
16374
16375   always @(posedge clk_i  )
16376     if (rst_i ==  1'b1)
16377       begin
16378	  regfile_raw_0 <= 1'b0;
16379	  regfile_raw_1 <= 1'b0;
16380	  w_result_d <= 32'b0;
16381       end
16382     else
16383       begin
16384	  regfile_raw_0 <= regfile_raw_0_nxt;
16385	  regfile_raw_1 <= regfile_raw_1_nxt;
16386	  w_result_d <= w_result;
16387       end
16388
16389
16390
16391
16392
16393   lm32_dp_ram
16394     #(
16395
16396       .addr_depth(1<<5),
16397       .addr_width(5),
16398       .data_width(32)
16399       )
16400   reg_0
16401     (
16402
16403      .clk_i	(clk_i),
16404      .rst_i	(rst_i),
16405      .we_i	(reg_write_enable_q_w),
16406      .wdata_i	(w_result),
16407      .waddr_i	(write_idx_w),
16408      .raddr_i	(instruction_f[25:21]),
16409
16410      .rdata_o	(regfile_data_0)
16411      );
16412
16413   lm32_dp_ram
16414     #(
16415       .addr_depth(1<<5),
16416       .addr_width(5),
16417       .data_width(32)
16418       )
16419   reg_1
16420     (
16421
16422      .clk_i	(clk_i),
16423      .rst_i	(rst_i),
16424      .we_i	(reg_write_enable_q_w),
16425      .wdata_i	(w_result),
16426      .waddr_i	(write_idx_w),
16427      .raddr_i	(instruction_f[20:16]),
16428
16429      .rdata_o	(regfile_data_1)
16430      );
16431
16432
16433
16434
16435
16436
16437
16438
16439
16440
16441
16442
16443
16444
16445
16446
16447
16448
16449
16450
16451
16452
16453
16454
16455
16456
16457
16458
16459
16460
16461
16462
16463
16464
16465
16466
16467
16468
16469
16470
16471
16472
16473
16474
16475
16476
16477
16478
16479
16480
16481
16482
16483
16484
16485
16486
16487
16488
16489
16490
16491
16492
16493
16494
16495
16496
16497
16498
16499
16500
16501
16502
16503
16504
16505
16506
16507
16508
16509
16510
16511assign reg_data_0 = use_buf ? reg_data_buf_0 : reg_data_live_0;
16512assign reg_data_1 = use_buf ? reg_data_buf_1 : reg_data_live_1;
16513
16514
16515
16516
16517
16518
16519
16520
16521
16522
16523
16524
16525assign raw_x_0 = (write_idx_x == read_idx_0_d) && (write_enable_q_x ==  1'b1);
16526assign raw_m_0 = (write_idx_m == read_idx_0_d) && (write_enable_q_m ==  1'b1);
16527assign raw_w_0 = (write_idx_w == read_idx_0_d) && (write_enable_q_w ==  1'b1);
16528assign raw_x_1 = (write_idx_x == read_idx_1_d) && (write_enable_q_x ==  1'b1);
16529assign raw_m_1 = (write_idx_m == read_idx_1_d) && (write_enable_q_m ==  1'b1);
16530assign raw_w_1 = (write_idx_w == read_idx_1_d) && (write_enable_q_w ==  1'b1);
16531
16532
16533always @(*)
16534begin
16535    if (   (   (x_bypass_enable_x ==  1'b0)
16536            && (   ((read_enable_0_d ==  1'b1) && (raw_x_0 ==  1'b1))
16537                || ((read_enable_1_d ==  1'b1) && (raw_x_1 ==  1'b1))
16538               )
16539           )
16540        || (   (m_bypass_enable_m ==  1'b0)
16541            && (   ((read_enable_0_d ==  1'b1) && (raw_m_0 ==  1'b1))
16542                || ((read_enable_1_d ==  1'b1) && (raw_m_1 ==  1'b1))
16543               )
16544           )
16545       )
16546        interlock =  1'b1;
16547    else
16548        interlock =  1'b0;
16549end
16550
16551
16552always @(*)
16553begin
16554    if (raw_x_0 ==  1'b1)
16555        bypass_data_0 = x_result;
16556    else if (raw_m_0 ==  1'b1)
16557        bypass_data_0 = m_result;
16558    else if (raw_w_0 ==  1'b1)
16559        bypass_data_0 = w_result;
16560    else
16561        bypass_data_0 = reg_data_0;
16562end
16563
16564
16565always @(*)
16566begin
16567    if (raw_x_1 ==  1'b1)
16568        bypass_data_1 = x_result;
16569    else if (raw_m_1 ==  1'b1)
16570        bypass_data_1 = m_result;
16571    else if (raw_w_1 ==  1'b1)
16572        bypass_data_1 = w_result;
16573    else
16574        bypass_data_1 = reg_data_1;
16575end
16576
16577
16578
16579
16580
16581
16582
16583   assign branch_predict_d = bi_unconditional | bi_conditional;
16584   assign branch_predict_taken_d = bi_unconditional ? 1'b1 : (bi_conditional ? instruction_d[15] : 1'b0);
16585
16586
16587   assign branch_target_d = pc_d + branch_offset_d;
16588
16589
16590
16591
16592   assign branch_predict_address_d = branch_predict_taken_d ? branch_target_d : pc_f;
16593
16594
16595always @(*)
16596begin
16597    d_result_0 = d_result_sel_0_d[0] ? {pc_f, 2'b00} : bypass_data_0;
16598    case (d_result_sel_1_d)
16599     2'b00:      d_result_1 = { 32{1'b0}};
16600     2'b01:     d_result_1 = bypass_data_1;
16601     2'b10: d_result_1 = immediate_d;
16602    default:                        d_result_1 = { 32{1'bx}};
16603    endcase
16604end
16605
16606
16607
16608
16609
16610
16611
16612
16613
16614
16615
16616assign sextb_result_x = {{24{operand_0_x[7]}}, operand_0_x[7:0]};
16617assign sexth_result_x = {{16{operand_0_x[15]}}, operand_0_x[15:0]};
16618assign sext_result_x = size_x ==  2'b00 ? sextb_result_x : sexth_result_x;
16619
16620
16621
16622
16623
16624
16625
16626
16627
16628
16629assign cmp_zero = operand_0_x == operand_1_x;
16630assign cmp_negative = adder_result_x[ 32-1];
16631assign cmp_overflow = adder_overflow_x;
16632assign cmp_carry_n = adder_carry_n_x;
16633always @(*)
16634begin
16635    case (condition_x)
16636     3'b000:   condition_met_x =  1'b1;
16637     3'b110:   condition_met_x =  1'b1;
16638     3'b001:    condition_met_x = cmp_zero;
16639     3'b111:   condition_met_x = !cmp_zero;
16640     3'b010:    condition_met_x = !cmp_zero && (cmp_negative == cmp_overflow);
16641     3'b101:   condition_met_x = cmp_carry_n && !cmp_zero;
16642     3'b011:   condition_met_x = cmp_negative == cmp_overflow;
16643     3'b100:  condition_met_x = cmp_carry_n;
16644    default:              condition_met_x = 1'bx;
16645    endcase
16646end
16647
16648
16649always @(*)
16650begin
16651    x_result =   x_result_sel_add_x ? adder_result_x
16652               : x_result_sel_csr_x ? csr_read_data_x
16653
16654
16655               : x_result_sel_sext_x ? sext_result_x
16656
16657
16658
16659
16660
16661
16662
16663
16664
16665
16666
16667
16668               : x_result_sel_mc_arith_x ? mc_result_x
16669
16670
16671               : logic_result_x;
16672end
16673
16674
16675always @(*)
16676begin
16677    m_result =   m_result_sel_compare_m ? {{ 32-1{1'b0}}, condition_met_m}
16678
16679
16680               : m_result_sel_shift_m ? shifter_result_m
16681
16682
16683               : operand_m;
16684end
16685
16686
16687always @(*)
16688begin
16689    w_result =    w_result_sel_load_w ? load_data_w
16690
16691
16692                : w_result_sel_mul_w ? multiplier_result_w
16693
16694
16695                : operand_w;
16696end
16697
16698
16699
16700
16701assign branch_taken_x =      (stall_x ==  1'b0)
16702                          && (   (branch_x ==  1'b1)
16703                              && ((condition_x ==  3'b000) || (condition_x ==  3'b110))
16704                              && (valid_x ==  1'b1)
16705                              && (branch_predict_x ==  1'b0)
16706                             );
16707
16708
16709
16710
16711assign branch_taken_m =      (stall_m ==  1'b0)
16712                          && (   (   (branch_m ==  1'b1)
16713                                  && (valid_m ==  1'b1)
16714                                  && (   (   (condition_met_m ==  1'b1)
16715					  && (branch_predict_taken_m ==  1'b0)
16716					 )
16717				      || (   (condition_met_m ==  1'b0)
16718					  && (branch_predict_m ==  1'b1)
16719					  && (branch_predict_taken_m ==  1'b1)
16720					 )
16721				     )
16722                                 )
16723                              || (exception_m ==  1'b1)
16724                             );
16725
16726
16727assign branch_mispredict_taken_m =    (condition_met_m ==  1'b0)
16728                                   && (branch_predict_m ==  1'b1)
16729	   			   && (branch_predict_taken_m ==  1'b1);
16730
16731
16732assign branch_flushX_m =    (stall_m ==  1'b0)
16733                         && (   (   (branch_m ==  1'b1)
16734                                 && (valid_m ==  1'b1)
16735			         && (   (condition_met_m ==  1'b1)
16736				     || (   (condition_met_m ==  1'b0)
16737					 && (branch_predict_m ==  1'b1)
16738					 && (branch_predict_taken_m ==  1'b1)
16739					)
16740				    )
16741			        )
16742			     || (exception_m ==  1'b1)
16743			    );
16744
16745
16746assign kill_f =    (   (valid_d ==  1'b1)
16747                    && (branch_predict_taken_d ==  1'b1)
16748		   )
16749                || (branch_taken_m ==  1'b1)
16750
16751
16752                || (branch_taken_x ==  1'b1)
16753
16754
16755
16756
16757                || (icache_refill_request ==  1'b1)
16758
16759
16760
16761
16762                || (dcache_refill_request ==  1'b1)
16763
16764
16765                ;
16766assign kill_d =    (branch_taken_m ==  1'b1)
16767
16768
16769                || (branch_taken_x ==  1'b1)
16770
16771
16772
16773
16774                || (icache_refill_request ==  1'b1)
16775
16776
16777
16778
16779                || (dcache_refill_request ==  1'b1)
16780
16781
16782                ;
16783assign kill_x =    (branch_flushX_m ==  1'b1)
16784
16785
16786                || (dcache_refill_request ==  1'b1)
16787
16788
16789                ;
16790assign kill_m =     1'b0
16791
16792
16793                || (dcache_refill_request ==  1'b1)
16794
16795
16796                ;
16797assign kill_w =     1'b0
16798
16799
16800                || (dcache_refill_request ==  1'b1)
16801
16802
16803                ;
16804
16805
16806
16807
16808
16809assign breakpoint_exception =    (   (   (break_x ==  1'b1)
16810				      || (bp_match ==  1'b1)
16811				     )
16812				  && (valid_x ==  1'b1)
16813				 )
16814
16815
16816                              || (jtag_break ==  1'b1)
16817
16818
16819                              ;
16820
16821
16822
16823
16824
16825assign watchpoint_exception = wp_match ==  1'b1;
16826
16827
16828
16829
16830
16831assign instruction_bus_error_exception = (   (bus_error_x ==  1'b1)
16832                                          && (valid_x ==  1'b1)
16833                                         );
16834assign data_bus_error_exception = data_bus_error_seen ==  1'b1;
16835
16836
16837
16838
16839
16840assign divide_by_zero_exception = divide_by_zero_x ==  1'b1;
16841
16842
16843
16844assign system_call_exception = (   (scall_x ==  1'b1)
16845
16846
16847                                && (valid_x ==  1'b1)
16848
16849
16850			       );
16851
16852
16853
16854assign debug_exception_x =  (breakpoint_exception ==  1'b1)
16855                         || (watchpoint_exception ==  1'b1)
16856                         ;
16857
16858assign non_debug_exception_x = (system_call_exception ==  1'b1)
16859
16860
16861                            || (reset_exception ==  1'b1)
16862
16863
16864
16865
16866                            || (instruction_bus_error_exception ==  1'b1)
16867                            || (data_bus_error_exception ==  1'b1)
16868
16869
16870
16871
16872                            || (divide_by_zero_exception ==  1'b1)
16873
16874
16875
16876
16877                            || (   (interrupt_exception ==  1'b1)
16878
16879
16880                                && (dc_ss ==  1'b0)
16881
16882
16883
16884
16885 				&& (store_q_m ==  1'b0)
16886				&& (D_CYC_O ==  1'b0)
16887
16888
16889                               )
16890
16891
16892                            ;
16893
16894assign exception_x = (debug_exception_x ==  1'b1) || (non_debug_exception_x ==  1'b1);
16895
16896
16897
16898
16899
16900
16901
16902
16903
16904
16905
16906
16907
16908
16909
16910
16911
16912
16913
16914
16915
16916
16917
16918
16919
16920
16921
16922
16923
16924
16925
16926
16927
16928
16929
16930
16931always @(*)
16932begin
16933
16934
16935
16936
16937    if (reset_exception ==  1'b1)
16938        eid_x =  3'h0;
16939    else
16940
16941
16942
16943
16944         if (data_bus_error_exception ==  1'b1)
16945        eid_x =  3'h4;
16946    else
16947
16948
16949         if (breakpoint_exception ==  1'b1)
16950        eid_x =  3'd1;
16951    else
16952
16953
16954
16955
16956         if (data_bus_error_exception ==  1'b1)
16957        eid_x =  3'h4;
16958    else
16959         if (instruction_bus_error_exception ==  1'b1)
16960        eid_x =  3'h2;
16961    else
16962
16963
16964
16965
16966         if (watchpoint_exception ==  1'b1)
16967        eid_x =  3'd3;
16968    else
16969
16970
16971
16972
16973         if (divide_by_zero_exception ==  1'b1)
16974        eid_x =  3'h5;
16975    else
16976
16977
16978
16979
16980         if (   (interrupt_exception ==  1'b1)
16981
16982
16983             && (dc_ss ==  1'b0)
16984
16985
16986            )
16987        eid_x =  3'h6;
16988    else
16989
16990
16991        eid_x =  3'h7;
16992end
16993
16994
16995
16996assign stall_a = (stall_f ==  1'b1);
16997
16998assign stall_f = (stall_d ==  1'b1);
16999
17000assign stall_d =   (stall_x ==  1'b1)
17001                || (   (interlock ==  1'b1)
17002                    && (kill_d ==  1'b0)
17003                   )
17004		|| (   (   (eret_d ==  1'b1)
17005			|| (scall_d ==  1'b1)
17006
17007
17008			|| (bus_error_d ==  1'b1)
17009
17010
17011		       )
17012		    && (   (load_q_x ==  1'b1)
17013			|| (load_q_m ==  1'b1)
17014			|| (store_q_x ==  1'b1)
17015			|| (store_q_m ==  1'b1)
17016			|| (D_CYC_O ==  1'b1)
17017		       )
17018                    && (kill_d ==  1'b0)
17019		   )
17020
17021
17022		|| (   (   (break_d ==  1'b1)
17023			|| (bret_d ==  1'b1)
17024		       )
17025		    && (   (load_q_x ==  1'b1)
17026			|| (store_q_x ==  1'b1)
17027			|| (load_q_m ==  1'b1)
17028			|| (store_q_m ==  1'b1)
17029			|| (D_CYC_O ==  1'b1)
17030		       )
17031                    && (kill_d ==  1'b0)
17032		   )
17033
17034
17035                || (   (csr_write_enable_d ==  1'b1)
17036                    && (load_q_x ==  1'b1)
17037                   )
17038
17039
17040
17041
17042
17043
17044
17045
17046
17047
17048                ;
17049
17050assign stall_x =    (stall_m ==  1'b1)
17051
17052
17053                 || (   (mc_stall_request_x ==  1'b1)
17054                     && (kill_x ==  1'b0)
17055                    )
17056
17057
17058
17059
17060                 ;
17061
17062assign stall_m =    (stall_wb_load ==  1'b1)
17063
17064
17065
17066
17067                 || (   (D_CYC_O ==  1'b1)
17068                     && (   (store_m ==  1'b1)
17069
17070
17071
17072
17073
17074
17075
17076
17077
17078
17079
17080
17081
17082
17083
17084		         || ((store_x ==  1'b1) && (interrupt_exception ==  1'b1))
17085
17086
17087                         || (load_m ==  1'b1)
17088                         || (load_x ==  1'b1)
17089                        )
17090                    )
17091
17092
17093
17094
17095                 || (dcache_stall_request ==  1'b1)
17096
17097
17098
17099
17100                 || (icache_stall_request ==  1'b1)
17101                 || ((I_CYC_O ==  1'b1) && ((branch_m ==  1'b1) || (exception_m ==  1'b1)))
17102
17103
17104
17105
17106
17107
17108
17109
17110
17111
17112
17113
17114
17115
17116
17117
17118                 ;
17119
17120
17121
17122
17123
17124
17125assign q_d = (valid_d ==  1'b1) && (kill_d ==  1'b0);
17126
17127
17128
17129
17130
17131
17132
17133
17134
17135
17136
17137
17138
17139assign divide_q_d = (divide_d ==  1'b1) && (q_d ==  1'b1);
17140assign modulus_q_d = (modulus_d ==  1'b1) && (q_d ==  1'b1);
17141
17142
17143assign q_x = (valid_x ==  1'b1) && (kill_x ==  1'b0);
17144assign csr_write_enable_q_x = (csr_write_enable_x ==  1'b1) && (q_x ==  1'b1);
17145assign eret_q_x = (eret_x ==  1'b1) && (q_x ==  1'b1);
17146
17147
17148assign bret_q_x = (bret_x ==  1'b1) && (q_x ==  1'b1);
17149
17150
17151assign load_q_x = (load_x ==  1'b1)
17152               && (q_x ==  1'b1)
17153
17154
17155               && (bp_match ==  1'b0)
17156
17157
17158                  ;
17159assign store_q_x = (store_x ==  1'b1)
17160               && (q_x ==  1'b1)
17161
17162
17163               && (bp_match ==  1'b0)
17164
17165
17166                  ;
17167
17168
17169
17170
17171assign q_m = (valid_m ==  1'b1) && (kill_m ==  1'b0) && (exception_m ==  1'b0);
17172assign load_q_m = (load_m ==  1'b1) && (q_m ==  1'b1);
17173assign store_q_m = (store_m ==  1'b1) && (q_m ==  1'b1);
17174
17175
17176assign debug_exception_q_w = ((debug_exception_w ==  1'b1) && (valid_w ==  1'b1));
17177assign non_debug_exception_q_w = ((non_debug_exception_w ==  1'b1) && (valid_w ==  1'b1));
17178
17179
17180
17181
17182
17183assign write_enable_q_x = (write_enable_x ==  1'b1) && (valid_x ==  1'b1) && (branch_flushX_m ==  1'b0);
17184assign write_enable_q_m = (write_enable_m ==  1'b1) && (valid_m ==  1'b1);
17185assign write_enable_q_w = (write_enable_w ==  1'b1) && (valid_w ==  1'b1);
17186
17187assign reg_write_enable_q_w = (write_enable_w ==  1'b1) && (kill_w ==  1'b0) && (valid_w ==  1'b1);
17188
17189
17190assign cfg = {
17191               6'h02,
17192              watchpoints[3:0],
17193              breakpoints[3:0],
17194              interrupts[5:0],
17195
17196
17197               1'b1,
17198
17199
17200
17201
17202
17203
17204
17205
17206               1'b0,
17207
17208
17209
17210
17211               1'b1,
17212
17213
17214
17215
17216
17217
17218               1'b1,
17219
17220
17221
17222
17223
17224
17225               1'b1,
17226
17227
17228
17229
17230
17231
17232               1'b1,
17233
17234
17235
17236
17237
17238
17239
17240
17241               1'b0,
17242
17243
17244
17245
17246
17247
17248               1'b0,
17249
17250
17251
17252
17253               1'b1,
17254
17255
17256
17257
17258
17259
17260               1'b1,
17261
17262
17263
17264
17265
17266
17267               1'b1,
17268
17269
17270
17271
17272
17273
17274               1'b1
17275
17276
17277
17278
17279              };
17280
17281assign cfg2 = {
17282		     30'b0,
17283
17284
17285
17286
17287		      1'b0,
17288
17289
17290
17291
17292
17293
17294		      1'b0
17295
17296
17297		     };
17298
17299
17300
17301
17302assign iflush = (   (csr_write_enable_d ==  1'b1)
17303                 && (csr_d ==  5'h3)
17304                 && (stall_d ==  1'b0)
17305                 && (kill_d ==  1'b0)
17306                 && (valid_d ==  1'b1))
17307
17308
17309
17310             ||
17311                (   (jtag_csr_write_enable ==  1'b1)
17312		 && (jtag_csr ==  5'h3))
17313
17314
17315		 ;
17316
17317
17318
17319
17320assign dflush_x = (   (csr_write_enable_q_x ==  1'b1)
17321                   && (csr_x ==  5'h4))
17322
17323
17324
17325               ||
17326                  (   (jtag_csr_write_enable ==  1'b1)
17327		   && (jtag_csr ==  5'h4))
17328
17329
17330		   ;
17331
17332
17333
17334
17335assign csr_d = read_idx_0_d[ (5-1):0];
17336
17337
17338always @(*)
17339begin
17340    case (csr_x)
17341
17342
17343     5'h0,
17344     5'h1,
17345     5'h2:   csr_read_data_x = interrupt_csr_read_data_x;
17346
17347
17348
17349
17350
17351
17352     5'h6:  csr_read_data_x = cfg;
17353     5'h7:  csr_read_data_x = {eba, 8'h00};
17354
17355
17356     5'h9: csr_read_data_x = {deba, 8'h00};
17357
17358
17359
17360
17361     5'he:  csr_read_data_x = jtx_csr_read_data;
17362     5'hf:  csr_read_data_x = jrx_csr_read_data;
17363
17364
17365     5'ha: csr_read_data_x = cfg2;
17366     5'hb:  csr_read_data_x = sdb_address;
17367
17368
17369     5'hc:  csr_read_data_x = data_bus_error_addr;
17370
17371
17372
17373
17374    default:        csr_read_data_x = { 32{1'bx}};
17375    endcase
17376end
17377
17378
17379
17380
17381
17382
17383always @(posedge clk_i  )
17384begin
17385    if (rst_i ==  1'b1)
17386        eba <= eba_reset[ (clogb2(32'h7fffffff-32'h0)-2)+2-1:8];
17387    else
17388    begin
17389        if ((csr_write_enable_q_x ==  1'b1) && (csr_x ==  5'h7) && (stall_x ==  1'b0))
17390            eba <= operand_1_x[ (clogb2(32'h7fffffff-32'h0)-2)+2-1:8];
17391
17392
17393
17394
17395       if ((jtag_csr_write_enable ==  1'b1) && (jtag_csr ==  5'h7))
17396         eba <= jtag_csr_write_data[ (clogb2(32'h7fffffff-32'h0)-2)+2-1:8];
17397
17398
17399
17400
17401
17402
17403
17404
17405
17406    end
17407end
17408
17409
17410
17411
17412always @(posedge clk_i  )
17413begin
17414    if (rst_i ==  1'b1)
17415        deba <= deba_reset[ (clogb2(32'h7fffffff-32'h0)-2)+2-1:8];
17416    else
17417    begin
17418        if ((csr_write_enable_q_x ==  1'b1) && (csr_x ==  5'h9) && (stall_x ==  1'b0))
17419            deba <= operand_1_x[ (clogb2(32'h7fffffff-32'h0)-2)+2-1:8];
17420
17421
17422
17423
17424       if ((jtag_csr_write_enable ==  1'b1) && (jtag_csr ==  5'h9))
17425         deba <= jtag_csr_write_data[ (clogb2(32'h7fffffff-32'h0)-2)+2-1:8];
17426
17427
17428
17429
17430
17431
17432
17433
17434
17435    end
17436end
17437
17438
17439
17440
17441
17442
17443
17444
17445
17446
17447
17448
17449
17450
17451
17452
17453
17454
17455always @(posedge clk_i  )
17456begin
17457    if (rst_i ==  1'b1)
17458        data_bus_error_seen <=  1'b0;
17459    else
17460    begin
17461
17462        if ((D_ERR_I ==  1'b1) && (D_CYC_O ==  1'b1)) begin
17463           data_bus_error_seen <=  1'b1;
17464	   data_bus_error_addr <= D_ADR_O;
17465	end
17466
17467        if ((exception_m ==  1'b1) && (kill_m ==  1'b0))
17468            data_bus_error_seen <=  1'b0;
17469    end
17470end
17471
17472
17473
17474
17475
17476
17477
17478
17479
17480always @(*)
17481begin
17482    if (   (icache_refill_request ==  1'b1)
17483        || (dcache_refill_request ==  1'b1)
17484       )
17485        valid_a =  1'b0;
17486    else if (   (icache_restart_request ==  1'b1)
17487             || (dcache_restart_request ==  1'b1)
17488            )
17489        valid_a =  1'b1;
17490    else
17491        valid_a = !icache_refilling && !dcache_refilling;
17492end
17493
17494
17495
17496
17497
17498
17499
17500
17501
17502
17503
17504
17505
17506
17507
17508
17509
17510
17511
17512
17513
17514
17515
17516
17517
17518
17519
17520always @(posedge clk_i  )
17521begin
17522    if (rst_i ==  1'b1)
17523    begin
17524        valid_f <=  1'b0;
17525        valid_d <=  1'b0;
17526        valid_x <=  1'b0;
17527        valid_m <=  1'b0;
17528        valid_w <=  1'b0;
17529    end
17530    else
17531    begin
17532        if ((kill_f ==  1'b1) || (stall_a ==  1'b0))
17533
17534
17535            valid_f <= valid_a;
17536
17537
17538
17539
17540        else if (stall_f ==  1'b0)
17541            valid_f <=  1'b0;
17542
17543        if (kill_d ==  1'b1)
17544            valid_d <=  1'b0;
17545        else if (stall_f ==  1'b0)
17546            valid_d <= valid_f & !kill_f;
17547        else if (stall_d ==  1'b0)
17548            valid_d <=  1'b0;
17549
17550        if (stall_d ==  1'b0)
17551            valid_x <= valid_d & !kill_d;
17552        else if (kill_x ==  1'b1)
17553            valid_x <=  1'b0;
17554        else if (stall_x ==  1'b0)
17555            valid_x <=  1'b0;
17556
17557        if (kill_m ==  1'b1)
17558            valid_m <=  1'b0;
17559        else if (stall_x ==  1'b0)
17560            valid_m <= valid_x & !kill_x;
17561        else if (stall_m ==  1'b0)
17562            valid_m <=  1'b0;
17563
17564        if (stall_m ==  1'b0)
17565            valid_w <= valid_m & !kill_m;
17566        else
17567            valid_w <=  1'b0;
17568    end
17569end
17570
17571
17572always @(posedge clk_i  )
17573begin
17574    if (rst_i ==  1'b1)
17575    begin
17576
17577
17578
17579
17580        operand_0_x <= { 32{1'b0}};
17581        operand_1_x <= { 32{1'b0}};
17582        store_operand_x <= { 32{1'b0}};
17583        branch_target_x <= { (clogb2(32'h7fffffff-32'h0)-2){1'b0}};
17584        x_result_sel_csr_x <=  1'b0;
17585
17586
17587        x_result_sel_mc_arith_x <=  1'b0;
17588
17589
17590
17591
17592
17593
17594
17595
17596        x_result_sel_sext_x <=  1'b0;
17597
17598
17599
17600
17601
17602
17603        x_result_sel_add_x <=  1'b0;
17604        m_result_sel_compare_x <=  1'b0;
17605
17606
17607        m_result_sel_shift_x <=  1'b0;
17608
17609
17610        w_result_sel_load_x <=  1'b0;
17611
17612
17613        w_result_sel_mul_x <=  1'b0;
17614
17615
17616        x_bypass_enable_x <=  1'b0;
17617        m_bypass_enable_x <=  1'b0;
17618        write_enable_x <=  1'b0;
17619        write_idx_x <= { 5{1'b0}};
17620        csr_x <= { 5{1'b0}};
17621        load_x <=  1'b0;
17622        store_x <=  1'b0;
17623        size_x <= { 2{1'b0}};
17624        sign_extend_x <=  1'b0;
17625        adder_op_x <=  1'b0;
17626        adder_op_x_n <=  1'b0;
17627        logic_op_x <= 4'h0;
17628
17629
17630        direction_x <=  1'b0;
17631
17632
17633
17634
17635
17636
17637
17638        branch_x <=  1'b0;
17639        branch_predict_x <=  1'b0;
17640        branch_predict_taken_x <=  1'b0;
17641        condition_x <=  3'b000;
17642
17643
17644        break_x <=  1'b0;
17645
17646
17647        scall_x <=  1'b0;
17648        eret_x <=  1'b0;
17649
17650
17651        bret_x <=  1'b0;
17652
17653
17654
17655
17656        bus_error_x <=  1'b0;
17657        data_bus_error_exception_m <=  1'b0;
17658
17659
17660        csr_write_enable_x <=  1'b0;
17661        operand_m <= { 32{1'b0}};
17662        branch_target_m <= { (clogb2(32'h7fffffff-32'h0)-2){1'b0}};
17663        m_result_sel_compare_m <=  1'b0;
17664
17665
17666        m_result_sel_shift_m <=  1'b0;
17667
17668
17669        w_result_sel_load_m <=  1'b0;
17670
17671
17672        w_result_sel_mul_m <=  1'b0;
17673
17674
17675        m_bypass_enable_m <=  1'b0;
17676        branch_m <=  1'b0;
17677        branch_predict_m <=  1'b0;
17678	branch_predict_taken_m <=  1'b0;
17679        exception_m <=  1'b0;
17680        load_m <=  1'b0;
17681        store_m <=  1'b0;
17682        write_enable_m <=  1'b0;
17683        write_idx_m <= { 5{1'b0}};
17684        condition_met_m <=  1'b0;
17685
17686
17687        dflush_m <=  1'b0;
17688
17689
17690
17691
17692        debug_exception_m <=  1'b0;
17693        non_debug_exception_m <=  1'b0;
17694
17695
17696        operand_w <= { 32{1'b0}};
17697        w_result_sel_load_w <=  1'b0;
17698
17699
17700        w_result_sel_mul_w <=  1'b0;
17701
17702
17703        write_idx_w <= { 5{1'b0}};
17704        write_enable_w <=  1'b0;
17705
17706
17707        debug_exception_w <=  1'b0;
17708        non_debug_exception_w <=  1'b0;
17709
17710
17711
17712
17713
17714
17715        memop_pc_w <= { (clogb2(32'h7fffffff-32'h0)-2){1'b0}};
17716
17717
17718    end
17719    else
17720    begin
17721
17722
17723        if (stall_x ==  1'b0)
17724        begin
17725
17726
17727
17728
17729            operand_0_x <= d_result_0;
17730            operand_1_x <= d_result_1;
17731            store_operand_x <= bypass_data_1;
17732            branch_target_x <= branch_reg_d ==  1'b1 ? bypass_data_0[ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] : branch_target_d;
17733            x_result_sel_csr_x <= x_result_sel_csr_d;
17734
17735
17736            x_result_sel_mc_arith_x <= x_result_sel_mc_arith_d;
17737
17738
17739
17740
17741
17742
17743
17744
17745            x_result_sel_sext_x <= x_result_sel_sext_d;
17746
17747
17748
17749
17750
17751
17752            x_result_sel_add_x <= x_result_sel_add_d;
17753            m_result_sel_compare_x <= m_result_sel_compare_d;
17754
17755
17756            m_result_sel_shift_x <= m_result_sel_shift_d;
17757
17758
17759            w_result_sel_load_x <= w_result_sel_load_d;
17760
17761
17762            w_result_sel_mul_x <= w_result_sel_mul_d;
17763
17764
17765            x_bypass_enable_x <= x_bypass_enable_d;
17766            m_bypass_enable_x <= m_bypass_enable_d;
17767            load_x <= load_d;
17768            store_x <= store_d;
17769            branch_x <= branch_d;
17770	    branch_predict_x <= branch_predict_d;
17771	    branch_predict_taken_x <= branch_predict_taken_d;
17772	    write_idx_x <= write_idx_d;
17773            csr_x <= csr_d;
17774            size_x <= size_d;
17775            sign_extend_x <= sign_extend_d;
17776            adder_op_x <= adder_op_d;
17777            adder_op_x_n <= ~adder_op_d;
17778            logic_op_x <= logic_op_d;
17779
17780
17781            direction_x <= direction_d;
17782
17783
17784
17785
17786
17787
17788            condition_x <= condition_d;
17789            csr_write_enable_x <= csr_write_enable_d;
17790
17791
17792            break_x <= break_d;
17793
17794
17795            scall_x <= scall_d;
17796
17797
17798            bus_error_x <= bus_error_d;
17799
17800
17801            eret_x <= eret_d;
17802
17803
17804            bret_x <= bret_d;
17805
17806
17807            write_enable_x <= write_enable_d;
17808        end
17809
17810
17811
17812        if (stall_m ==  1'b0)
17813        begin
17814            operand_m <= x_result;
17815            m_result_sel_compare_m <= m_result_sel_compare_x;
17816
17817
17818            m_result_sel_shift_m <= m_result_sel_shift_x;
17819
17820
17821            if (exception_x ==  1'b1)
17822            begin
17823                w_result_sel_load_m <=  1'b0;
17824
17825
17826                w_result_sel_mul_m <=  1'b0;
17827
17828
17829            end
17830            else
17831            begin
17832                w_result_sel_load_m <= w_result_sel_load_x;
17833
17834
17835                w_result_sel_mul_m <= w_result_sel_mul_x;
17836
17837
17838            end
17839            m_bypass_enable_m <= m_bypass_enable_x;
17840            load_m <= load_x;
17841            store_m <= store_x;
17842
17843
17844            branch_m <= branch_x && !branch_taken_x;
17845
17846
17847
17848
17849
17850
17851
17852
17853
17854
17855
17856
17857
17858            if (non_debug_exception_x ==  1'b1)
17859                write_idx_m <=  5'd30;
17860            else if (debug_exception_x ==  1'b1)
17861                write_idx_m <=  5'd31;
17862            else
17863                write_idx_m <= write_idx_x;
17864
17865
17866
17867
17868
17869
17870
17871            condition_met_m <= condition_met_x;
17872
17873
17874	   if (exception_x ==  1'b1)
17875	     if ((dc_re ==  1'b1)
17876		 || ((debug_exception_x ==  1'b1)
17877		     && (non_debug_exception_x ==  1'b0)))
17878	       branch_target_m <= {deba, eid_x, {3{1'b0}}};
17879	     else
17880	       branch_target_m <= {eba, eid_x, {3{1'b0}}};
17881	   else
17882	     branch_target_m <= branch_target_x;
17883
17884
17885
17886
17887
17888
17889
17890
17891
17892
17893
17894            dflush_m <= dflush_x;
17895
17896
17897
17898
17899
17900
17901
17902
17903            write_enable_m <= exception_x ==  1'b1 ?  1'b1 : write_enable_x;
17904
17905
17906            debug_exception_m <= debug_exception_x;
17907            non_debug_exception_m <= non_debug_exception_x;
17908
17909
17910        end
17911
17912
17913        if (stall_m ==  1'b0)
17914        begin
17915            if ((exception_x ==  1'b1) && (q_x ==  1'b1) && (stall_x ==  1'b0))
17916                exception_m <=  1'b1;
17917            else
17918                exception_m <=  1'b0;
17919
17920
17921	   data_bus_error_exception_m <=    (data_bus_error_exception ==  1'b1)
17922
17923
17924					 && (reset_exception ==  1'b0)
17925
17926
17927					 ;
17928
17929
17930	end
17931
17932
17933
17934
17935        operand_w <= exception_m ==  1'b1 ? (data_bus_error_exception_m ? {memop_pc_w, 2'b00} : {pc_m, 2'b00}) : m_result;
17936
17937
17938
17939
17940        w_result_sel_load_w <= w_result_sel_load_m;
17941
17942
17943        w_result_sel_mul_w <= w_result_sel_mul_m;
17944
17945
17946        write_idx_w <= write_idx_m;
17947
17948
17949
17950
17951
17952
17953
17954
17955        write_enable_w <= write_enable_m;
17956
17957
17958        debug_exception_w <= debug_exception_m;
17959        non_debug_exception_w <= non_debug_exception_m;
17960
17961
17962
17963
17964
17965
17966        if (   (stall_m ==  1'b0)
17967            && (   (load_q_m ==  1'b1)
17968                || (store_q_m ==  1'b1)
17969               )
17970	   )
17971          memop_pc_w <= pc_m;
17972
17973
17974    end
17975end
17976
17977
17978
17979
17980
17981always @(posedge clk_i  )
17982begin
17983    if (rst_i ==  1'b1)
17984    begin
17985        use_buf <=  1'b0;
17986        reg_data_buf_0 <= { 32{1'b0}};
17987        reg_data_buf_1 <= { 32{1'b0}};
17988    end
17989    else
17990    begin
17991        if (stall_d ==  1'b0)
17992            use_buf <=  1'b0;
17993        else if (use_buf ==  1'b0)
17994        begin
17995            reg_data_buf_0 <= reg_data_live_0;
17996            reg_data_buf_1 <= reg_data_live_1;
17997            use_buf <=  1'b1;
17998        end
17999        if (reg_write_enable_q_w ==  1'b1)
18000        begin
18001            if (write_idx_w == read_idx_0_d)
18002                reg_data_buf_0 <= w_result;
18003            if (write_idx_w == read_idx_1_d)
18004                reg_data_buf_1 <= w_result;
18005        end
18006    end
18007end
18008
18009
18010
18011
18012
18013
18014
18015
18016
18017
18018
18019
18020
18021
18022
18023
18024
18025
18026
18027
18028
18029
18030
18031
18032
18033
18034
18035
18036
18037
18038
18039
18040
18041
18042
18043
18044
18045
18046
18047
18048
18049
18050
18051
18052
18053
18054
18055
18056
18057
18058
18059
18060
18061
18062
18063
18064
18065
18066
18067
18068
18069
18070
18071
18072
18073
18074
18075
18076
18077
18078
18079
18080
18081
18082
18083
18084
18085
18086
18087
18088
18089
18090
18091
18092
18093
18094
18095
18096
18097
18098
18099
18100
18101
18102
18103
18104
18105
18106
18107
18108
18109
18110
18111
18112
18113
18114
18115
18116
18117
18118
18119
18120
18121
18122
18123
18124
18125
18126
18127
18128endmodule
18129
18130
18131
18132
18133
18134
18135
18136
18137
18138
18139
18140
18141
18142
18143
18144
18145
18146
18147
18148
18149
18150
18151
18152
18153
18154
18155
18156
18157
18158
18159
18160
18161
18162
18163
18164
18165
18166
18167
18168
18169
18170
18171
18172
18173
18174
18175
18176
18177
18178
18179
18180
18181
18182
18183
18184
18185
18186
18187
18188
18189
18190
18191
18192
18193
18194
18195
18196
18197
18198
18199
18200
18201
18202
18203
18204
18205
18206
18207
18208
18209
18210
18211
18212
18213
18214
18215
18216
18217
18218
18219
18220
18221
18222
18223
18224
18225
18226
18227
18228
18229
18230
18231
18232
18233
18234
18235
18236
18237
18238
18239
18240
18241
18242
18243
18244
18245
18246
18247
18248
18249
18250
18251
18252
18253
18254
18255
18256
18257
18258
18259
18260
18261
18262
18263
18264
18265
18266
18267
18268
18269
18270
18271
18272
18273
18274
18275
18276
18277
18278
18279
18280
18281
18282
18283
18284
18285
18286
18287
18288
18289
18290
18291
18292
18293
18294
18295
18296
18297
18298
18299
18300
18301
18302
18303
18304
18305
18306
18307
18308
18309
18310
18311
18312
18313
18314
18315
18316
18317
18318
18319
18320
18321
18322
18323
18324
18325
18326
18327
18328
18329
18330
18331
18332
18333
18334
18335
18336
18337
18338
18339
18340
18341
18342
18343
18344
18345
18346
18347
18348
18349
18350
18351
18352
18353
18354
18355
18356
18357
18358
18359
18360
18361
18362
18363
18364
18365
18366
18367
18368
18369
18370
18371
18372
18373
18374
18375
18376
18377
18378
18379
18380
18381
18382
18383
18384
18385
18386
18387
18388
18389
18390
18391
18392
18393
18394
18395
18396
18397
18398
18399
18400
18401
18402
18403
18404
18405
18406
18407
18408
18409
18410
18411
18412
18413
18414
18415
18416
18417
18418
18419
18420
18421
18422
18423
18424
18425
18426
18427
18428
18429
18430
18431
18432
18433
18434
18435
18436
18437
18438
18439
18440
18441
18442
18443
18444
18445
18446
18447
18448
18449
18450
18451
18452
18453
18454
18455
18456
18457
18458
18459
18460
18461
18462
18463
18464
18465
18466
18467
18468
18469
18470
18471
18472
18473
18474
18475
18476
18477
18478
18479
18480
18481
18482
18483
18484
18485
18486
18487
18488
18489
18490
18491
18492
18493
18494
18495
18496
18497
18498
18499
18500
18501
18502module lm32_load_store_unit_full_debug
18503(
18504
18505    clk_i,
18506    rst_i,
18507
18508    stall_a,
18509    stall_x,
18510    stall_m,
18511    kill_x,
18512    kill_m,
18513    exception_m,
18514    store_operand_x,
18515    load_store_address_x,
18516    load_store_address_m,
18517    load_store_address_w,
18518    load_x,
18519    store_x,
18520    load_q_x,
18521    store_q_x,
18522    load_q_m,
18523    store_q_m,
18524    sign_extend_x,
18525    size_x,
18526
18527
18528    dflush,
18529
18530
18531
18532    d_dat_i,
18533    d_ack_i,
18534    d_err_i,
18535    d_rty_i,
18536
18537
18538
18539
18540    dcache_refill_request,
18541    dcache_restart_request,
18542    dcache_stall_request,
18543    dcache_refilling,
18544
18545
18546
18547
18548
18549
18550
18551
18552
18553
18554
18555
18556    load_data_w,
18557    stall_wb_load,
18558
18559    d_dat_o,
18560    d_adr_o,
18561    d_cyc_o,
18562    d_sel_o,
18563    d_stb_o,
18564    d_we_o,
18565    d_cti_o,
18566    d_lock_o,
18567    d_bte_o
18568    );
18569
18570
18571
18572
18573
18574parameter associativity = 1;
18575parameter sets = 512;
18576parameter bytes_per_line = 16;
18577parameter base_address = 0;
18578parameter limit = 0;
18579
18580
18581localparam addr_offset_width = bytes_per_line == 4 ? 1 : clogb2(bytes_per_line)-1-2;
18582localparam addr_offset_lsb = 2;
18583localparam addr_offset_msb = (addr_offset_lsb+addr_offset_width-1);
18584
18585
18586
18587
18588
18589   input clk_i;
18590
18591input rst_i;
18592
18593input stall_a;
18594input stall_x;
18595input stall_m;
18596input kill_x;
18597input kill_m;
18598input exception_m;
18599
18600input [ (32-1):0] store_operand_x;
18601input [ (32-1):0] load_store_address_x;
18602input [ (32-1):0] load_store_address_m;
18603input [1:0] load_store_address_w;
18604input load_x;
18605input store_x;
18606input load_q_x;
18607input store_q_x;
18608input load_q_m;
18609input store_q_m;
18610input sign_extend_x;
18611input [ 1:0] size_x;
18612
18613
18614
18615input dflush;
18616
18617
18618
18619
18620
18621
18622
18623
18624
18625
18626
18627
18628
18629
18630   reg 		 [31:0] iram_dat_d0;
18631   reg 		 iram_en_d0;
18632   wire 	 iram_en;
18633   wire [31:0] 	 iram_data;
18634
18635
18636
18637input [ (32-1):0] d_dat_i;
18638input d_ack_i;
18639input d_err_i;
18640input d_rty_i;
18641
18642
18643
18644
18645
18646
18647
18648output dcache_refill_request;
18649wire   dcache_refill_request;
18650output dcache_restart_request;
18651wire   dcache_restart_request;
18652output dcache_stall_request;
18653wire   dcache_stall_request;
18654output dcache_refilling;
18655wire   dcache_refilling;
18656
18657
18658
18659
18660output [ (32-1):0] load_data_w;
18661reg    [ (32-1):0] load_data_w;
18662output stall_wb_load;
18663reg    stall_wb_load;
18664
18665output [ (32-1):0] d_dat_o;
18666reg    [ (32-1):0] d_dat_o;
18667output [ (32-1):0] d_adr_o;
18668reg    [ (32-1):0] d_adr_o;
18669output d_cyc_o;
18670reg    d_cyc_o;
18671output [ (4-1):0] d_sel_o;
18672reg    [ (4-1):0] d_sel_o;
18673output d_stb_o;
18674reg    d_stb_o;
18675output d_we_o;
18676reg    d_we_o;
18677output [ (3-1):0] d_cti_o;
18678reg    [ (3-1):0] d_cti_o;
18679output d_lock_o;
18680reg    d_lock_o;
18681output [ (2-1):0] d_bte_o;
18682wire   [ (2-1):0] d_bte_o;
18683
18684
18685
18686
18687
18688
18689reg [ 1:0] size_m;
18690reg [ 1:0] size_w;
18691reg sign_extend_m;
18692reg sign_extend_w;
18693reg [ (32-1):0] store_data_x;
18694reg [ (32-1):0] store_data_m;
18695reg [ (4-1):0] byte_enable_x;
18696reg [ (4-1):0] byte_enable_m;
18697wire [ (32-1):0] data_m;
18698reg [ (32-1):0] data_w;
18699
18700
18701
18702
18703
18704wire dcache_select_x;
18705reg dcache_select_m;
18706wire [ (32-1):0] dcache_data_m;
18707wire [ (32-1):0] dcache_refill_address;
18708reg dcache_refill_ready;
18709wire [ (3-1):0] first_cycle_type;
18710wire [ (3-1):0] next_cycle_type;
18711wire last_word;
18712wire [ (32-1):0] first_address;
18713
18714
18715
18716
18717
18718
18719
18720
18721
18722
18723
18724
18725wire wb_select_x;
18726
18727
18728
18729
18730
18731
18732
18733
18734
18735
18736reg wb_select_m;
18737reg [ (32-1):0] wb_data_m;
18738reg wb_load_complete;
18739
18740
18741
18742
18743
18744
18745
18746
18747
18748
18749
18750
18751
18752
18753
18754
18755
18756
18757
18758
18759
18760
18761
18762
18763
18764
18765
18766
18767
18768
18769
18770
18771
18772
18773
18774function integer clogb2;
18775input [31:0] value;
18776begin
18777   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
18778        value = value >> 1;
18779end
18780endfunction
18781
18782function integer clogb2_v1;
18783input [31:0] value;
18784reg   [31:0] i;
18785reg   [31:0] temp;
18786begin
18787   temp = 0;
18788   i    = 0;
18789   for (i = 0; temp < value; i = i + 1)
18790	temp = 1<<i;
18791   clogb2_v1 = i-1;
18792end
18793endfunction
18794
18795
18796
18797
18798
18799
18800
18801
18802
18803
18804
18805
18806lm32_dcache_full_debug #(
18807    .associativity          (associativity),
18808    .sets                   (sets),
18809    .bytes_per_line         (bytes_per_line),
18810    .base_address           (base_address),
18811    .limit                  (limit)
18812    ) dcache (
18813
18814    .clk_i                  (clk_i),
18815    .rst_i                  (rst_i),
18816    .stall_a                (stall_a),
18817    .stall_x                (stall_x),
18818    .stall_m                (stall_m),
18819    .address_x              (load_store_address_x),
18820    .address_m              (load_store_address_m),
18821    .load_q_m               (load_q_m & dcache_select_m),
18822    .store_q_m              (store_q_m & dcache_select_m),
18823    .store_data             (store_data_m),
18824    .store_byte_select      (byte_enable_m & {4{dcache_select_m}}),
18825    .refill_ready           (dcache_refill_ready),
18826    .refill_data            (wb_data_m),
18827    .dflush                 (dflush),
18828
18829    .stall_request          (dcache_stall_request),
18830    .restart_request        (dcache_restart_request),
18831    .refill_request         (dcache_refill_request),
18832    .refill_address         (dcache_refill_address),
18833    .refilling              (dcache_refilling),
18834    .load_data              (dcache_data_m)
18835    );
18836
18837
18838
18839
18840
18841
18842
18843
18844
18845
18846
18847
18848
18849
18850
18851
18852
18853
18854
18855
18856
18857
18858
18859
18860
18861
18862
18863
18864
18865
18866
18867
18868
18869
18870
18871
18872
18873
18874
18875
18876
18877
18878   assign dcache_select_x =    (load_store_address_x >=  32'h0)
18879                            && (load_store_address_x <=  32'h7fffffff)
18880
18881
18882
18883
18884
18885
18886
18887
18888                     ;
18889
18890
18891
18892   assign wb_select_x =     1'b1
18893
18894
18895                        && !dcache_select_x
18896
18897
18898
18899
18900
18901
18902
18903
18904
18905
18906                     ;
18907
18908
18909always @(*)
18910begin
18911    case (size_x)
18912     2'b00:  store_data_x = {4{store_operand_x[7:0]}};
18913     2'b11: store_data_x = {2{store_operand_x[15:0]}};
18914     2'b10:  store_data_x = store_operand_x;
18915    default:          store_data_x = { 32{1'bx}};
18916    endcase
18917end
18918
18919
18920always @(*)
18921begin
18922    casez ({size_x, load_store_address_x[1:0]})
18923    { 2'b00, 2'b11}:  byte_enable_x = 4'b0001;
18924    { 2'b00, 2'b10}:  byte_enable_x = 4'b0010;
18925    { 2'b00, 2'b01}:  byte_enable_x = 4'b0100;
18926    { 2'b00, 2'b00}:  byte_enable_x = 4'b1000;
18927    { 2'b11, 2'b1?}: byte_enable_x = 4'b0011;
18928    { 2'b11, 2'b0?}: byte_enable_x = 4'b1100;
18929    { 2'b10, 2'b??}:  byte_enable_x = 4'b1111;
18930    default:                   byte_enable_x = 4'bxxxx;
18931    endcase
18932end
18933
18934
18935
18936
18937
18938
18939
18940
18941
18942
18943
18944
18945
18946
18947
18948
18949
18950
18951
18952
18953
18954
18955
18956
18957
18958
18959
18960
18961
18962
18963
18964
18965
18966
18967
18968
18969
18970
18971
18972
18973
18974
18975
18976
18977
18978
18979   assign data_m = wb_select_m ==  1'b1
18980                   ? wb_data_m
18981                   : dcache_data_m;
18982
18983
18984
18985
18986
18987
18988
18989
18990
18991
18992
18993
18994
18995
18996
18997
18998
18999
19000
19001
19002
19003
19004
19005
19006
19007
19008
19009
19010
19011
19012
19013
19014
19015
19016
19017always @(*)
19018begin
19019    casez ({size_w, load_store_address_w[1:0]})
19020    { 2'b00, 2'b11}:  load_data_w = {{24{sign_extend_w & data_w[7]}}, data_w[7:0]};
19021    { 2'b00, 2'b10}:  load_data_w = {{24{sign_extend_w & data_w[15]}}, data_w[15:8]};
19022    { 2'b00, 2'b01}:  load_data_w = {{24{sign_extend_w & data_w[23]}}, data_w[23:16]};
19023    { 2'b00, 2'b00}:  load_data_w = {{24{sign_extend_w & data_w[31]}}, data_w[31:24]};
19024    { 2'b11, 2'b1?}: load_data_w = {{16{sign_extend_w & data_w[15]}}, data_w[15:0]};
19025    { 2'b11, 2'b0?}: load_data_w = {{16{sign_extend_w & data_w[31]}}, data_w[31:16]};
19026    { 2'b10, 2'b??}:  load_data_w = data_w;
19027    default:                   load_data_w = { 32{1'bx}};
19028    endcase
19029end
19030
19031
19032assign d_bte_o =  2'b00;
19033
19034
19035
19036
19037generate
19038    case (bytes_per_line)
19039    4:
19040    begin
19041assign first_cycle_type =  3'b111;
19042assign next_cycle_type =  3'b111;
19043assign last_word =  1'b1;
19044assign first_address = {dcache_refill_address[ 32-1:2], 2'b00};
19045    end
19046    8:
19047    begin
19048assign first_cycle_type =  3'b010;
19049assign next_cycle_type =  3'b111;
19050assign last_word = (&d_adr_o[addr_offset_msb:addr_offset_lsb]) == 1'b1;
19051assign first_address = {dcache_refill_address[ 32-1:addr_offset_msb+1], {addr_offset_width{1'b0}}, 2'b00};
19052    end
19053    16:
19054    begin
19055assign first_cycle_type =  3'b010;
19056assign next_cycle_type = d_adr_o[addr_offset_msb] == 1'b1 ?  3'b111 :  3'b010;
19057assign last_word = (&d_adr_o[addr_offset_msb:addr_offset_lsb]) == 1'b1;
19058assign first_address = {dcache_refill_address[ 32-1:addr_offset_msb+1], {addr_offset_width{1'b0}}, 2'b00};
19059    end
19060    endcase
19061endgenerate
19062
19063
19064
19065
19066
19067
19068
19069
19070always @(posedge clk_i  )
19071begin
19072    if (rst_i ==  1'b1)
19073    begin
19074        d_cyc_o <=  1'b0;
19075        d_stb_o <=  1'b0;
19076        d_dat_o <= { 32{1'b0}};
19077        d_adr_o <= { 32{1'b0}};
19078        d_sel_o <= { 4{ 1'b0}};
19079        d_we_o <=  1'b0;
19080        d_cti_o <=  3'b111;
19081        d_lock_o <=  1'b0;
19082        wb_data_m <= { 32{1'b0}};
19083        wb_load_complete <=  1'b0;
19084        stall_wb_load <=  1'b0;
19085
19086
19087        dcache_refill_ready <=  1'b0;
19088
19089
19090    end
19091    else
19092    begin
19093
19094
19095
19096        dcache_refill_ready <=  1'b0;
19097
19098
19099
19100        if (d_cyc_o ==  1'b1)
19101        begin
19102
19103            if ((d_ack_i ==  1'b1) || (d_err_i ==  1'b1))
19104            begin
19105
19106
19107                if ((dcache_refilling ==  1'b1) && (!last_word))
19108                begin
19109
19110                    d_adr_o[addr_offset_msb:addr_offset_lsb] <= d_adr_o[addr_offset_msb:addr_offset_lsb] + 1'b1;
19111                end
19112                else
19113
19114
19115                begin
19116
19117                    d_cyc_o <=  1'b0;
19118                    d_stb_o <=  1'b0;
19119                    d_lock_o <=  1'b0;
19120                end
19121
19122
19123                d_cti_o <= next_cycle_type;
19124
19125                dcache_refill_ready <= dcache_refilling;
19126
19127
19128
19129                wb_data_m <= d_dat_i;
19130
19131                wb_load_complete <= !d_we_o;
19132            end
19133
19134        end
19135        else
19136        begin
19137
19138
19139            if (dcache_refill_request ==  1'b1)
19140            begin
19141
19142                d_adr_o <= first_address;
19143                d_cyc_o <=  1'b1;
19144                d_sel_o <= { 32/8{ 1'b1}};
19145                d_stb_o <=  1'b1;
19146                d_we_o <=  1'b0;
19147                d_cti_o <= first_cycle_type;
19148
19149            end
19150            else
19151
19152
19153                 if (   (store_q_m ==  1'b1)
19154                     && (stall_m ==  1'b0)
19155
19156
19157
19158
19159
19160
19161
19162
19163                    )
19164            begin
19165
19166                d_dat_o <= store_data_m;
19167                d_adr_o <= load_store_address_m;
19168                d_cyc_o <=  1'b1;
19169                d_sel_o <= byte_enable_m;
19170                d_stb_o <=  1'b1;
19171                d_we_o <=  1'b1;
19172                d_cti_o <=  3'b111;
19173            end
19174            else if (   (load_q_m ==  1'b1)
19175                     && (wb_select_m ==  1'b1)
19176                     && (wb_load_complete ==  1'b0)
19177
19178                    )
19179            begin
19180
19181                stall_wb_load <=  1'b0;
19182                d_adr_o <= load_store_address_m;
19183                d_cyc_o <=  1'b1;
19184                d_sel_o <= byte_enable_m;
19185                d_stb_o <=  1'b1;
19186                d_we_o <=  1'b0;
19187                d_cti_o <=  3'b111;
19188            end
19189        end
19190
19191        if (stall_m ==  1'b0)
19192            wb_load_complete <=  1'b0;
19193
19194        if ((load_q_x ==  1'b1) && (wb_select_x ==  1'b1) && (stall_x ==  1'b0))
19195            stall_wb_load <=  1'b1;
19196
19197        if ((kill_m ==  1'b1) || (exception_m ==  1'b1))
19198            stall_wb_load <=  1'b0;
19199    end
19200end
19201
19202
19203
19204
19205always @(posedge clk_i  )
19206begin
19207    if (rst_i ==  1'b1)
19208    begin
19209        sign_extend_m <=  1'b0;
19210        size_m <= 2'b00;
19211        byte_enable_m <=  1'b0;
19212        store_data_m <= { 32{1'b0}};
19213
19214
19215        dcache_select_m <=  1'b0;
19216
19217
19218
19219
19220
19221
19222
19223
19224
19225
19226
19227        wb_select_m <=  1'b0;
19228    end
19229    else
19230    begin
19231        if (stall_m ==  1'b0)
19232        begin
19233            sign_extend_m <= sign_extend_x;
19234            size_m <= size_x;
19235            byte_enable_m <= byte_enable_x;
19236            store_data_m <= store_data_x;
19237
19238
19239            dcache_select_m <= dcache_select_x;
19240
19241
19242
19243
19244
19245
19246
19247
19248
19249
19250
19251            wb_select_m <= wb_select_x;
19252        end
19253    end
19254end
19255
19256
19257always @(posedge clk_i  )
19258begin
19259    if (rst_i ==  1'b1)
19260    begin
19261        size_w <= 2'b00;
19262        data_w <= { 32{1'b0}};
19263        sign_extend_w <=  1'b0;
19264    end
19265    else
19266    begin
19267        size_w <= size_m;
19268
19269
19270
19271
19272
19273        data_w <= data_m;
19274
19275        sign_extend_w <= sign_extend_m;
19276    end
19277end
19278
19279
19280
19281
19282
19283
19284
19285endmodule
19286
19287
19288
19289
19290
19291
19292
19293
19294
19295
19296
19297
19298
19299
19300
19301
19302
19303
19304
19305
19306
19307
19308
19309
19310
19311
19312
19313
19314
19315
19316
19317
19318
19319
19320
19321
19322
19323
19324
19325
19326
19327
19328
19329
19330
19331
19332
19333
19334
19335
19336
19337
19338
19339
19340
19341
19342
19343
19344
19345
19346
19347
19348
19349
19350
19351
19352
19353
19354
19355
19356
19357
19358
19359
19360
19361
19362
19363
19364
19365
19366
19367
19368
19369
19370
19371
19372
19373
19374
19375
19376
19377
19378
19379
19380
19381
19382
19383
19384
19385
19386
19387
19388
19389
19390
19391
19392
19393
19394
19395
19396
19397
19398
19399
19400
19401
19402
19403
19404
19405
19406
19407
19408
19409
19410
19411
19412
19413
19414
19415
19416
19417
19418
19419
19420
19421
19422
19423
19424
19425
19426
19427
19428
19429
19430
19431
19432
19433
19434
19435
19436
19437
19438
19439
19440
19441
19442
19443
19444
19445
19446
19447
19448
19449
19450
19451
19452
19453
19454
19455
19456
19457
19458
19459
19460
19461
19462
19463
19464
19465
19466
19467
19468
19469
19470
19471
19472
19473
19474
19475
19476
19477
19478
19479
19480
19481
19482
19483
19484
19485
19486
19487
19488
19489
19490
19491
19492
19493
19494
19495
19496
19497
19498
19499
19500
19501
19502
19503
19504
19505
19506
19507
19508
19509
19510
19511
19512
19513
19514
19515
19516
19517
19518
19519
19520
19521
19522
19523
19524
19525
19526
19527
19528
19529
19530
19531
19532
19533
19534
19535
19536
19537
19538
19539
19540
19541
19542
19543
19544
19545
19546
19547
19548
19549
19550
19551
19552
19553
19554
19555
19556
19557
19558
19559
19560
19561
19562
19563
19564
19565
19566
19567
19568
19569
19570
19571
19572
19573
19574
19575
19576
19577
19578
19579
19580
19581
19582
19583
19584
19585
19586
19587
19588
19589
19590
19591
19592
19593
19594
19595
19596
19597
19598
19599
19600
19601
19602
19603
19604
19605
19606
19607
19608
19609
19610
19611
19612
19613
19614
19615
19616
19617
19618
19619
19620
19621
19622
19623
19624
19625
19626
19627
19628
19629
19630
19631
19632
19633
19634
19635
19636
19637
19638
19639
19640
19641
19642
19643
19644
19645
19646
19647
19648
19649
19650
19651
19652
19653
19654
19655
19656
19657
19658
19659
19660
19661
19662
19663
19664
19665
19666
19667
19668
19669
19670
19671
19672
19673
19674
19675
19676
19677
19678
19679
19680
19681
19682
19683
19684
19685
19686
19687
19688
19689
19690
19691
19692
19693
19694
19695
19696
19697
19698
19699
19700
19701
19702
19703
19704
19705
19706
19707
19708
19709
19710
19711
19712
19713
19714
19715
19716
19717
19718
19719
19720
19721
19722
19723
19724
19725
19726
19727
19728
19729
19730
19731
19732
19733
19734
19735
19736
19737
19738
19739
19740
19741
19742
19743
19744
19745
19746
19747
19748
19749
19750module lm32_decoder_full_debug (
19751
19752    instruction,
19753
19754    d_result_sel_0,
19755    d_result_sel_1,
19756    x_result_sel_csr,
19757
19758
19759    x_result_sel_mc_arith,
19760
19761
19762
19763
19764
19765
19766
19767
19768    x_result_sel_sext,
19769
19770
19771    x_result_sel_logic,
19772
19773
19774
19775
19776    x_result_sel_add,
19777    m_result_sel_compare,
19778
19779
19780    m_result_sel_shift,
19781
19782
19783    w_result_sel_load,
19784
19785
19786    w_result_sel_mul,
19787
19788
19789    x_bypass_enable,
19790    m_bypass_enable,
19791    read_enable_0,
19792    read_idx_0,
19793    read_enable_1,
19794    read_idx_1,
19795    write_enable,
19796    write_idx,
19797    immediate,
19798    branch_offset,
19799    load,
19800    store,
19801    size,
19802    sign_extend,
19803    adder_op,
19804    logic_op,
19805
19806
19807    direction,
19808
19809
19810
19811
19812
19813
19814
19815
19816
19817
19818
19819
19820
19821    divide,
19822    modulus,
19823
19824
19825    branch,
19826    branch_reg,
19827    condition,
19828    bi_conditional,
19829    bi_unconditional,
19830
19831
19832    break_opcode,
19833
19834
19835    scall,
19836    eret,
19837
19838
19839    bret,
19840
19841
19842
19843
19844
19845
19846    csr_write_enable
19847    );
19848
19849
19850
19851
19852
19853input [ (32-1):0] instruction;
19854
19855
19856
19857
19858
19859output [ 0:0] d_result_sel_0;
19860reg    [ 0:0] d_result_sel_0;
19861output [ 1:0] d_result_sel_1;
19862reg    [ 1:0] d_result_sel_1;
19863output x_result_sel_csr;
19864reg    x_result_sel_csr;
19865
19866
19867output x_result_sel_mc_arith;
19868reg    x_result_sel_mc_arith;
19869
19870
19871
19872
19873
19874
19875
19876
19877
19878output x_result_sel_sext;
19879reg    x_result_sel_sext;
19880
19881
19882output x_result_sel_logic;
19883reg    x_result_sel_logic;
19884
19885
19886
19887
19888
19889output x_result_sel_add;
19890reg    x_result_sel_add;
19891output m_result_sel_compare;
19892reg    m_result_sel_compare;
19893
19894
19895output m_result_sel_shift;
19896reg    m_result_sel_shift;
19897
19898
19899output w_result_sel_load;
19900reg    w_result_sel_load;
19901
19902
19903output w_result_sel_mul;
19904reg    w_result_sel_mul;
19905
19906
19907output x_bypass_enable;
19908wire   x_bypass_enable;
19909output m_bypass_enable;
19910wire   m_bypass_enable;
19911output read_enable_0;
19912wire   read_enable_0;
19913output [ (5-1):0] read_idx_0;
19914wire   [ (5-1):0] read_idx_0;
19915output read_enable_1;
19916wire   read_enable_1;
19917output [ (5-1):0] read_idx_1;
19918wire   [ (5-1):0] read_idx_1;
19919output write_enable;
19920wire   write_enable;
19921output [ (5-1):0] write_idx;
19922wire   [ (5-1):0] write_idx;
19923output [ (32-1):0] immediate;
19924wire   [ (32-1):0] immediate;
19925output [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_offset;
19926wire   [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_offset;
19927output load;
19928wire   load;
19929output store;
19930wire   store;
19931output [ 1:0] size;
19932wire   [ 1:0] size;
19933output sign_extend;
19934wire   sign_extend;
19935output adder_op;
19936wire   adder_op;
19937output [ 3:0] logic_op;
19938wire   [ 3:0] logic_op;
19939
19940
19941output direction;
19942wire   direction;
19943
19944
19945
19946
19947
19948
19949
19950
19951
19952
19953
19954
19955
19956
19957
19958
19959output divide;
19960wire   divide;
19961output modulus;
19962wire   modulus;
19963
19964
19965output branch;
19966wire   branch;
19967output branch_reg;
19968wire   branch_reg;
19969output [ (3-1):0] condition;
19970wire   [ (3-1):0] condition;
19971output bi_conditional;
19972wire bi_conditional;
19973output bi_unconditional;
19974wire bi_unconditional;
19975
19976
19977output break_opcode;
19978wire   break_opcode;
19979
19980
19981output scall;
19982wire   scall;
19983output eret;
19984wire   eret;
19985
19986
19987output bret;
19988wire   bret;
19989
19990
19991
19992
19993
19994
19995
19996output csr_write_enable;
19997wire   csr_write_enable;
19998
19999
20000
20001
20002
20003wire [ (32-1):0] extended_immediate;
20004wire [ (32-1):0] high_immediate;
20005wire [ (32-1):0] call_immediate;
20006wire [ (32-1):0] branch_immediate;
20007wire sign_extend_immediate;
20008wire select_high_immediate;
20009wire select_call_immediate;
20010
20011wire op_add;
20012wire op_and;
20013wire op_andhi;
20014wire op_b;
20015wire op_bi;
20016wire op_be;
20017wire op_bg;
20018wire op_bge;
20019wire op_bgeu;
20020wire op_bgu;
20021wire op_bne;
20022wire op_call;
20023wire op_calli;
20024wire op_cmpe;
20025wire op_cmpg;
20026wire op_cmpge;
20027wire op_cmpgeu;
20028wire op_cmpgu;
20029wire op_cmpne;
20030
20031
20032wire op_divu;
20033
20034
20035wire op_lb;
20036wire op_lbu;
20037wire op_lh;
20038wire op_lhu;
20039wire op_lw;
20040
20041
20042wire op_modu;
20043
20044
20045
20046
20047wire op_mul;
20048
20049
20050wire op_nor;
20051wire op_or;
20052wire op_orhi;
20053wire op_raise;
20054wire op_rcsr;
20055wire op_sb;
20056
20057
20058wire op_sextb;
20059wire op_sexth;
20060
20061
20062wire op_sh;
20063
20064
20065wire op_sl;
20066
20067
20068wire op_sr;
20069wire op_sru;
20070wire op_sub;
20071wire op_sw;
20072
20073
20074
20075
20076wire op_wcsr;
20077wire op_xnor;
20078wire op_xor;
20079
20080wire arith;
20081wire logical;
20082wire cmp;
20083wire bra;
20084wire call;
20085
20086
20087wire shift;
20088
20089
20090
20091
20092
20093
20094
20095
20096wire sext;
20097
20098
20099
20100
20101
20102
20103
20104
20105
20106
20107
20108
20109
20110
20111
20112
20113
20114
20115
20116
20117
20118
20119
20120
20121
20122
20123
20124
20125
20126
20127
20128
20129
20130
20131
20132
20133
20134function integer clogb2;
20135input [31:0] value;
20136begin
20137   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
20138        value = value >> 1;
20139end
20140endfunction
20141
20142function integer clogb2_v1;
20143input [31:0] value;
20144reg   [31:0] i;
20145reg   [31:0] temp;
20146begin
20147   temp = 0;
20148   i    = 0;
20149   for (i = 0; temp < value; i = i + 1)
20150	temp = 1<<i;
20151   clogb2_v1 = i-1;
20152end
20153endfunction
20154
20155
20156
20157
20158
20159
20160
20161
20162
20163assign op_add    = instruction[ 30:26] ==  5'b01101;
20164assign op_and    = instruction[ 30:26] ==  5'b01000;
20165assign op_andhi  = instruction[ 31:26] ==  6'b011000;
20166assign op_b      = instruction[ 31:26] ==  6'b110000;
20167assign op_bi     = instruction[ 31:26] ==  6'b111000;
20168assign op_be     = instruction[ 31:26] ==  6'b010001;
20169assign op_bg     = instruction[ 31:26] ==  6'b010010;
20170assign op_bge    = instruction[ 31:26] ==  6'b010011;
20171assign op_bgeu   = instruction[ 31:26] ==  6'b010100;
20172assign op_bgu    = instruction[ 31:26] ==  6'b010101;
20173assign op_bne    = instruction[ 31:26] ==  6'b010111;
20174assign op_call   = instruction[ 31:26] ==  6'b110110;
20175assign op_calli  = instruction[ 31:26] ==  6'b111110;
20176assign op_cmpe   = instruction[ 30:26] ==  5'b11001;
20177assign op_cmpg   = instruction[ 30:26] ==  5'b11010;
20178assign op_cmpge  = instruction[ 30:26] ==  5'b11011;
20179assign op_cmpgeu = instruction[ 30:26] ==  5'b11100;
20180assign op_cmpgu  = instruction[ 30:26] ==  5'b11101;
20181assign op_cmpne  = instruction[ 30:26] ==  5'b11111;
20182
20183
20184assign op_divu   = instruction[ 31:26] ==  6'b100011;
20185
20186
20187assign op_lb     = instruction[ 31:26] ==  6'b000100;
20188assign op_lbu    = instruction[ 31:26] ==  6'b010000;
20189assign op_lh     = instruction[ 31:26] ==  6'b000111;
20190assign op_lhu    = instruction[ 31:26] ==  6'b001011;
20191assign op_lw     = instruction[ 31:26] ==  6'b001010;
20192
20193
20194assign op_modu   = instruction[ 31:26] ==  6'b110001;
20195
20196
20197
20198
20199assign op_mul    = instruction[ 30:26] ==  5'b00010;
20200
20201
20202assign op_nor    = instruction[ 30:26] ==  5'b00001;
20203assign op_or     = instruction[ 30:26] ==  5'b01110;
20204assign op_orhi   = instruction[ 31:26] ==  6'b011110;
20205assign op_raise  = instruction[ 31:26] ==  6'b101011;
20206assign op_rcsr   = instruction[ 31:26] ==  6'b100100;
20207assign op_sb     = instruction[ 31:26] ==  6'b001100;
20208
20209
20210assign op_sextb  = instruction[ 31:26] ==  6'b101100;
20211assign op_sexth  = instruction[ 31:26] ==  6'b110111;
20212
20213
20214assign op_sh     = instruction[ 31:26] ==  6'b000011;
20215
20216
20217assign op_sl     = instruction[ 30:26] ==  5'b01111;
20218
20219
20220assign op_sr     = instruction[ 30:26] ==  5'b00101;
20221assign op_sru    = instruction[ 30:26] ==  5'b00000;
20222assign op_sub    = instruction[ 31:26] ==  6'b110010;
20223assign op_sw     = instruction[ 31:26] ==  6'b010110;
20224
20225
20226
20227
20228assign op_wcsr   = instruction[ 31:26] ==  6'b110100;
20229assign op_xnor   = instruction[ 30:26] ==  5'b01001;
20230assign op_xor    = instruction[ 30:26] ==  5'b00110;
20231
20232
20233assign arith = op_add | op_sub;
20234assign logical = op_and | op_andhi | op_nor | op_or | op_orhi | op_xor | op_xnor;
20235assign cmp = op_cmpe | op_cmpg | op_cmpge | op_cmpgeu | op_cmpgu | op_cmpne;
20236assign bi_conditional = op_be | op_bg | op_bge | op_bgeu  | op_bgu | op_bne;
20237assign bi_unconditional = op_bi;
20238assign bra = op_b | bi_unconditional | bi_conditional;
20239assign call = op_call | op_calli;
20240
20241
20242assign shift = op_sl | op_sr | op_sru;
20243
20244
20245
20246
20247
20248
20249
20250
20251
20252
20253
20254
20255
20256assign sext = op_sextb | op_sexth;
20257
20258
20259
20260
20261
20262
20263
20264
20265assign divide = op_divu;
20266assign modulus = op_modu;
20267
20268
20269assign load = op_lb | op_lbu | op_lh | op_lhu | op_lw;
20270assign store = op_sb | op_sh | op_sw;
20271
20272
20273always @(*)
20274begin
20275
20276    if (call)
20277        d_result_sel_0 =  1'b1;
20278    else
20279        d_result_sel_0 =  1'b0;
20280    if (call)
20281        d_result_sel_1 =  2'b00;
20282    else if ((instruction[31] == 1'b0) && !bra)
20283        d_result_sel_1 =  2'b10;
20284    else
20285        d_result_sel_1 =  2'b01;
20286
20287    x_result_sel_csr =  1'b0;
20288
20289
20290    x_result_sel_mc_arith =  1'b0;
20291
20292
20293
20294
20295
20296
20297
20298
20299    x_result_sel_sext =  1'b0;
20300
20301
20302    x_result_sel_logic =  1'b0;
20303
20304
20305
20306
20307    x_result_sel_add =  1'b0;
20308    if (op_rcsr)
20309        x_result_sel_csr =  1'b1;
20310
20311
20312
20313
20314
20315
20316
20317
20318
20319    else if (divide | modulus)
20320        x_result_sel_mc_arith =  1'b1;
20321
20322
20323
20324
20325
20326
20327
20328
20329
20330
20331
20332
20333
20334
20335
20336
20337    else if (sext)
20338        x_result_sel_sext =  1'b1;
20339
20340
20341    else if (logical)
20342        x_result_sel_logic =  1'b1;
20343
20344
20345
20346
20347
20348    else
20349        x_result_sel_add =  1'b1;
20350
20351
20352
20353    m_result_sel_compare = cmp;
20354
20355
20356    m_result_sel_shift = shift;
20357
20358
20359
20360
20361    w_result_sel_load = load;
20362
20363
20364    w_result_sel_mul = op_mul;
20365
20366
20367end
20368
20369
20370assign x_bypass_enable =  arith
20371                        | logical
20372
20373
20374
20375
20376
20377
20378
20379
20380
20381
20382
20383                        | divide
20384                        | modulus
20385
20386
20387
20388
20389
20390
20391
20392
20393                        | sext
20394
20395
20396
20397
20398
20399
20400                        | op_rcsr
20401                        ;
20402
20403assign m_bypass_enable = x_bypass_enable
20404
20405
20406                        | shift
20407
20408
20409                        | cmp
20410                        ;
20411
20412assign read_enable_0 = ~(op_bi | op_calli);
20413assign read_idx_0 = instruction[25:21];
20414
20415assign read_enable_1 = ~(op_bi | op_calli | load);
20416assign read_idx_1 = instruction[20:16];
20417
20418assign write_enable = ~(bra | op_raise | store | op_wcsr);
20419assign write_idx = call
20420                    ? 5'd29
20421                    : instruction[31] == 1'b0
20422                        ? instruction[20:16]
20423                        : instruction[15:11];
20424
20425
20426assign size = instruction[27:26];
20427
20428assign sign_extend = instruction[28];
20429
20430assign adder_op = op_sub | op_cmpe | op_cmpg | op_cmpge | op_cmpgeu | op_cmpgu | op_cmpne | bra;
20431
20432assign logic_op = instruction[29:26];
20433
20434
20435
20436assign direction = instruction[29];
20437
20438
20439
20440assign branch = bra | call;
20441assign branch_reg = op_call | op_b;
20442assign condition = instruction[28:26];
20443
20444
20445assign break_opcode = op_raise & ~instruction[2];
20446
20447
20448assign scall = op_raise & instruction[2];
20449assign eret = op_b & (instruction[25:21] == 5'd30);
20450
20451
20452assign bret = op_b & (instruction[25:21] == 5'd31);
20453
20454
20455
20456
20457
20458
20459
20460
20461assign csr_write_enable = op_wcsr;
20462
20463
20464
20465assign sign_extend_immediate = ~(op_and | op_cmpgeu | op_cmpgu | op_nor | op_or | op_xnor | op_xor);
20466assign select_high_immediate = op_andhi | op_orhi;
20467assign select_call_immediate = instruction[31];
20468
20469assign high_immediate = {instruction[15:0], 16'h0000};
20470assign extended_immediate = {{16{sign_extend_immediate & instruction[15]}}, instruction[15:0]};
20471assign call_immediate = {{6{instruction[25]}}, instruction[25:0]};
20472assign branch_immediate = {{16{instruction[15]}}, instruction[15:0]};
20473
20474assign immediate = select_high_immediate ==  1'b1
20475                        ? high_immediate
20476                        : extended_immediate;
20477
20478assign branch_offset = select_call_immediate ==  1'b1
20479                        ? (call_immediate[ (clogb2(32'h7fffffff-32'h0)-2)-1:0])
20480                        : (branch_immediate[ (clogb2(32'h7fffffff-32'h0)-2)-1:0]);
20481
20482endmodule
20483
20484
20485
20486
20487
20488
20489
20490
20491
20492
20493
20494
20495
20496
20497
20498
20499
20500
20501
20502
20503
20504
20505
20506
20507
20508
20509
20510
20511
20512
20513
20514
20515
20516
20517
20518
20519
20520
20521
20522
20523
20524
20525
20526
20527
20528
20529
20530
20531
20532
20533
20534
20535
20536
20537
20538
20539
20540
20541
20542
20543
20544
20545
20546
20547
20548
20549
20550
20551
20552
20553
20554
20555
20556
20557
20558
20559
20560
20561
20562
20563
20564
20565
20566
20567
20568
20569
20570
20571
20572
20573
20574
20575
20576
20577
20578
20579
20580
20581
20582
20583
20584
20585
20586
20587
20588
20589
20590
20591
20592
20593
20594
20595
20596
20597
20598
20599
20600
20601
20602
20603
20604
20605
20606
20607
20608
20609
20610
20611
20612
20613
20614
20615
20616
20617
20618
20619
20620
20621
20622
20623
20624
20625
20626
20627
20628
20629
20630
20631
20632
20633
20634
20635
20636
20637
20638
20639
20640
20641
20642
20643
20644
20645
20646
20647
20648
20649
20650
20651
20652
20653
20654
20655
20656
20657
20658
20659
20660
20661
20662
20663
20664
20665
20666
20667
20668
20669
20670
20671
20672
20673
20674
20675
20676
20677
20678
20679
20680
20681
20682
20683
20684
20685
20686
20687
20688
20689
20690
20691
20692
20693
20694
20695
20696
20697
20698
20699
20700
20701
20702
20703
20704
20705
20706
20707
20708
20709
20710
20711
20712
20713
20714
20715
20716
20717
20718
20719
20720
20721
20722
20723
20724
20725
20726
20727
20728
20729
20730
20731
20732
20733
20734
20735
20736
20737
20738
20739
20740
20741
20742
20743
20744
20745
20746
20747
20748
20749
20750
20751
20752
20753
20754
20755
20756
20757
20758
20759
20760
20761
20762
20763
20764
20765
20766
20767
20768
20769
20770
20771
20772
20773
20774
20775
20776
20777
20778
20779
20780
20781
20782
20783
20784
20785
20786
20787
20788
20789
20790
20791
20792
20793
20794
20795
20796
20797
20798
20799
20800
20801
20802
20803
20804
20805
20806
20807
20808
20809
20810
20811
20812
20813
20814
20815
20816
20817
20818
20819
20820
20821
20822
20823
20824
20825
20826
20827
20828
20829
20830
20831
20832
20833
20834
20835
20836
20837
20838
20839
20840
20841
20842
20843
20844
20845
20846
20847
20848
20849
20850
20851
20852
20853
20854
20855
20856
20857
20858
20859
20860
20861
20862
20863
20864
20865
20866
20867
20868
20869
20870
20871
20872
20873
20874
20875
20876
20877
20878
20879
20880
20881
20882
20883
20884
20885
20886
20887
20888
20889
20890
20891
20892module lm32_icache_full_debug (
20893
20894    clk_i,
20895    rst_i,
20896    stall_a,
20897    stall_f,
20898    address_a,
20899    address_f,
20900    read_enable_f,
20901    refill_ready,
20902    refill_data,
20903    iflush,
20904
20905
20906
20907
20908    valid_d,
20909    branch_predict_taken_d,
20910
20911    stall_request,
20912    restart_request,
20913    refill_request,
20914    refill_address,
20915    refilling,
20916    inst
20917    );
20918
20919
20920
20921
20922
20923parameter associativity = 1;
20924parameter sets = 512;
20925parameter bytes_per_line = 16;
20926parameter base_address = 0;
20927parameter limit = 0;
20928
20929localparam addr_offset_width = clogb2(bytes_per_line)-1-2;
20930localparam addr_set_width = clogb2(sets)-1;
20931localparam addr_offset_lsb = 2;
20932localparam addr_offset_msb = (addr_offset_lsb+addr_offset_width-1);
20933localparam addr_set_lsb = (addr_offset_msb+1);
20934localparam addr_set_msb = (addr_set_lsb+addr_set_width-1);
20935localparam addr_tag_lsb = (addr_set_msb+1);
20936localparam addr_tag_msb = clogb2( 32'h7fffffff- 32'h0)-1;
20937localparam addr_tag_width = (addr_tag_msb-addr_tag_lsb+1);
20938
20939
20940
20941
20942
20943input clk_i;
20944input rst_i;
20945
20946input stall_a;
20947input stall_f;
20948
20949input valid_d;
20950input branch_predict_taken_d;
20951
20952input [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] address_a;
20953input [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] address_f;
20954input read_enable_f;
20955
20956input refill_ready;
20957input [ (32-1):0] refill_data;
20958
20959input iflush;
20960
20961
20962
20963
20964
20965
20966
20967
20968
20969output stall_request;
20970wire   stall_request;
20971output restart_request;
20972reg    restart_request;
20973output refill_request;
20974wire   refill_request;
20975output [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] refill_address;
20976reg    [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] refill_address;
20977output refilling;
20978reg    refilling;
20979output [ (32-1):0] inst;
20980wire   [ (32-1):0] inst;
20981
20982
20983
20984
20985
20986wire enable;
20987wire [0:associativity-1] way_mem_we;
20988wire [ (32-1):0] way_data[0:associativity-1];
20989wire [ ((addr_tag_width+1)-1):1] way_tag[0:associativity-1];
20990wire [0:associativity-1] way_valid;
20991wire [0:associativity-1] way_match;
20992wire miss;
20993
20994wire [ (addr_set_width-1):0] tmem_read_address;
20995wire [ (addr_set_width-1):0] tmem_write_address;
20996wire [ ((addr_offset_width+addr_set_width)-1):0] dmem_read_address;
20997wire [ ((addr_offset_width+addr_set_width)-1):0] dmem_write_address;
20998wire [ ((addr_tag_width+1)-1):0] tmem_write_data;
20999
21000reg [ 3:0] state;
21001wire flushing;
21002wire check;
21003wire refill;
21004
21005reg [associativity-1:0] refill_way_select;
21006reg [ addr_offset_msb:addr_offset_lsb] refill_offset;
21007wire last_refill;
21008reg [ (addr_set_width-1):0] flush_set;
21009
21010genvar i;
21011
21012
21013
21014
21015
21016
21017
21018
21019
21020
21021
21022
21023
21024
21025
21026
21027
21028
21029
21030
21031
21032
21033
21034
21035
21036
21037
21038
21039
21040
21041
21042
21043
21044
21045
21046function integer clogb2;
21047input [31:0] value;
21048begin
21049   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
21050        value = value >> 1;
21051end
21052endfunction
21053
21054function integer clogb2_v1;
21055input [31:0] value;
21056reg   [31:0] i;
21057reg   [31:0] temp;
21058begin
21059   temp = 0;
21060   i    = 0;
21061   for (i = 0; temp < value; i = i + 1)
21062	temp = 1<<i;
21063   clogb2_v1 = i-1;
21064end
21065endfunction
21066
21067
21068
21069
21070
21071
21072
21073
21074   generate
21075      for (i = 0; i < associativity; i = i + 1)
21076	begin : memories
21077
21078	   lm32_ram
21079	     #(
21080
21081	       .data_width                 (32),
21082	       .address_width              ( (addr_offset_width+addr_set_width))
21083
21084)
21085	   way_0_data_ram
21086	     (
21087
21088	      .read_clk                   (clk_i),
21089	      .write_clk                  (clk_i),
21090	      .reset                      (rst_i),
21091	      .read_address               (dmem_read_address),
21092	      .enable_read                (enable),
21093	      .write_address              (dmem_write_address),
21094	      .enable_write               ( 1'b1),
21095	      .write_enable               (way_mem_we[i]),
21096	      .write_data                 (refill_data),
21097
21098	      .read_data                  (way_data[i])
21099	      );
21100
21101	   lm32_ram
21102	     #(
21103
21104	       .data_width                 ( (addr_tag_width+1)),
21105	       .address_width              ( addr_set_width)
21106
21107	       )
21108	   way_0_tag_ram
21109	     (
21110
21111	      .read_clk                   (clk_i),
21112	      .write_clk                  (clk_i),
21113	      .reset                      (rst_i),
21114	      .read_address               (tmem_read_address),
21115	      .enable_read                (enable),
21116	      .write_address              (tmem_write_address),
21117	      .enable_write               ( 1'b1),
21118	      .write_enable               (way_mem_we[i] | flushing),
21119	      .write_data                 (tmem_write_data),
21120
21121	      .read_data                  ({way_tag[i], way_valid[i]})
21122	      );
21123
21124	end
21125endgenerate
21126
21127
21128
21129
21130
21131
21132generate
21133    for (i = 0; i < associativity; i = i + 1)
21134    begin : match
21135assign way_match[i] = ({way_tag[i], way_valid[i]} == {address_f[ addr_tag_msb:addr_tag_lsb],  1'b1});
21136    end
21137endgenerate
21138
21139
21140generate
21141    if (associativity == 1)
21142    begin : inst_1
21143assign inst = way_match[0] ? way_data[0] : 32'b0;
21144    end
21145    else if (associativity == 2)
21146	 begin : inst_2
21147assign inst = way_match[0] ? way_data[0] : (way_match[1] ? way_data[1] : 32'b0);
21148    end
21149endgenerate
21150
21151
21152generate
21153    if (bytes_per_line > 4)
21154assign dmem_write_address = {refill_address[ addr_set_msb:addr_set_lsb], refill_offset};
21155    else
21156assign dmem_write_address = refill_address[ addr_set_msb:addr_set_lsb];
21157endgenerate
21158
21159assign dmem_read_address = address_a[ addr_set_msb:addr_offset_lsb];
21160
21161
21162assign tmem_read_address = address_a[ addr_set_msb:addr_set_lsb];
21163assign tmem_write_address = flushing
21164                                ? flush_set
21165                                : refill_address[ addr_set_msb:addr_set_lsb];
21166
21167
21168generate
21169    if (bytes_per_line > 4)
21170assign last_refill = refill_offset == {addr_offset_width{1'b1}};
21171    else
21172assign last_refill =  1'b1;
21173endgenerate
21174
21175
21176assign enable = (stall_a ==  1'b0);
21177
21178
21179generate
21180    if (associativity == 1)
21181    begin : we_1
21182assign way_mem_we[0] = (refill_ready ==  1'b1);
21183    end
21184    else
21185    begin : we_2
21186assign way_mem_we[0] = (refill_ready ==  1'b1) && (refill_way_select[0] ==  1'b1);
21187assign way_mem_we[1] = (refill_ready ==  1'b1) && (refill_way_select[1] ==  1'b1);
21188    end
21189endgenerate
21190
21191
21192assign tmem_write_data[ 0] = last_refill & !flushing;
21193assign tmem_write_data[ ((addr_tag_width+1)-1):1] = refill_address[ addr_tag_msb:addr_tag_lsb];
21194
21195
21196assign flushing = |state[1:0];
21197assign check = state[2];
21198assign refill = state[3];
21199
21200assign miss = (~(|way_match)) && (read_enable_f ==  1'b1) && (stall_f ==  1'b0) && !(valid_d && branch_predict_taken_d);
21201assign stall_request = (check ==  1'b0);
21202assign refill_request = (refill ==  1'b1);
21203
21204
21205
21206
21207
21208
21209generate
21210    if (associativity >= 2)
21211    begin : way_select
21212always @(posedge clk_i  )
21213begin
21214    if (rst_i ==  1'b1)
21215        refill_way_select <= {{associativity-1{1'b0}}, 1'b1};
21216    else
21217    begin
21218        if (miss ==  1'b1)
21219            refill_way_select <= {refill_way_select[0], refill_way_select[1]};
21220    end
21221end
21222    end
21223endgenerate
21224
21225
21226always @(posedge clk_i  )
21227begin
21228    if (rst_i ==  1'b1)
21229        refilling <=  1'b0;
21230    else
21231        refilling <= refill;
21232end
21233
21234
21235always @(posedge clk_i  )
21236begin
21237    if (rst_i ==  1'b1)
21238    begin
21239        state <=  4'b0001;
21240        flush_set <= { addr_set_width{1'b1}};
21241        refill_address <= { (clogb2(32'h7fffffff-32'h0)-2){1'bx}};
21242        restart_request <=  1'b0;
21243    end
21244    else
21245    begin
21246        case (state)
21247
21248
21249         4'b0001:
21250        begin
21251            if (flush_set == { addr_set_width{1'b0}})
21252                state <=  4'b0100;
21253            flush_set <= flush_set - 1'b1;
21254        end
21255
21256
21257         4'b0010:
21258        begin
21259            if (flush_set == { addr_set_width{1'b0}})
21260
21261
21262
21263
21264
21265
21266		state <=  4'b0100;
21267
21268            flush_set <= flush_set - 1'b1;
21269        end
21270
21271
21272         4'b0100:
21273        begin
21274            if (stall_a ==  1'b0)
21275                restart_request <=  1'b0;
21276            if (iflush ==  1'b1)
21277            begin
21278                refill_address <= address_f;
21279                state <=  4'b0010;
21280            end
21281            else if (miss ==  1'b1)
21282            begin
21283                refill_address <= address_f;
21284                state <=  4'b1000;
21285            end
21286        end
21287
21288
21289         4'b1000:
21290        begin
21291            if (refill_ready ==  1'b1)
21292            begin
21293                if (last_refill ==  1'b1)
21294                begin
21295                    restart_request <=  1'b1;
21296                    state <=  4'b0100;
21297                end
21298            end
21299        end
21300
21301        endcase
21302    end
21303end
21304
21305generate
21306    if (bytes_per_line > 4)
21307    begin
21308
21309always @(posedge clk_i  )
21310begin
21311    if (rst_i ==  1'b1)
21312        refill_offset <= {addr_offset_width{1'b0}};
21313    else
21314    begin
21315        case (state)
21316
21317
21318         4'b0100:
21319        begin
21320            if (iflush ==  1'b1)
21321                refill_offset <= {addr_offset_width{1'b0}};
21322            else if (miss ==  1'b1)
21323                refill_offset <= {addr_offset_width{1'b0}};
21324        end
21325
21326
21327         4'b1000:
21328        begin
21329            if (refill_ready ==  1'b1)
21330                refill_offset <= refill_offset + 1'b1;
21331        end
21332
21333        endcase
21334    end
21335end
21336    end
21337endgenerate
21338
21339endmodule
21340
21341
21342
21343
21344
21345
21346
21347
21348
21349
21350
21351
21352
21353
21354
21355
21356
21357
21358
21359
21360
21361
21362
21363
21364
21365
21366
21367
21368
21369
21370
21371
21372
21373
21374
21375
21376
21377
21378
21379
21380
21381
21382
21383
21384
21385
21386
21387
21388
21389
21390
21391
21392
21393
21394
21395
21396
21397
21398
21399
21400
21401
21402
21403
21404
21405
21406
21407
21408
21409
21410
21411
21412
21413
21414
21415
21416
21417
21418
21419
21420
21421
21422
21423
21424
21425
21426
21427
21428
21429
21430
21431
21432
21433
21434
21435
21436
21437
21438
21439
21440
21441
21442
21443
21444
21445
21446
21447
21448
21449
21450
21451
21452
21453
21454
21455
21456
21457
21458
21459
21460
21461
21462
21463
21464
21465
21466
21467
21468
21469
21470
21471
21472
21473
21474
21475
21476
21477
21478
21479
21480
21481
21482
21483
21484
21485
21486
21487
21488
21489
21490
21491
21492
21493
21494
21495
21496
21497
21498
21499
21500
21501
21502
21503
21504
21505
21506
21507
21508
21509
21510
21511
21512
21513
21514
21515
21516
21517
21518
21519
21520
21521
21522
21523
21524
21525
21526
21527
21528
21529
21530
21531
21532
21533
21534
21535
21536
21537
21538
21539
21540
21541
21542
21543
21544
21545
21546
21547
21548
21549
21550
21551
21552
21553
21554
21555
21556
21557
21558
21559
21560
21561
21562
21563
21564
21565
21566
21567
21568
21569
21570
21571
21572
21573
21574
21575
21576
21577
21578
21579
21580
21581
21582
21583
21584
21585
21586
21587
21588
21589
21590
21591
21592
21593
21594
21595
21596
21597
21598
21599
21600
21601
21602
21603
21604
21605
21606
21607
21608
21609
21610
21611
21612
21613
21614
21615
21616
21617
21618
21619
21620
21621
21622
21623
21624
21625
21626
21627
21628
21629
21630
21631
21632
21633
21634
21635
21636
21637
21638
21639
21640
21641
21642
21643
21644
21645
21646
21647
21648
21649
21650
21651
21652
21653
21654
21655
21656
21657
21658
21659
21660
21661
21662
21663
21664
21665
21666
21667
21668
21669
21670
21671
21672
21673
21674
21675
21676
21677
21678
21679
21680
21681
21682
21683
21684
21685
21686
21687
21688
21689
21690
21691
21692
21693
21694
21695
21696
21697
21698
21699
21700
21701
21702
21703
21704
21705
21706
21707
21708
21709
21710
21711
21712
21713
21714
21715
21716
21717
21718
21719
21720
21721
21722
21723
21724
21725
21726
21727
21728
21729
21730
21731
21732
21733
21734
21735
21736
21737
21738
21739
21740
21741
21742
21743
21744
21745module lm32_dcache_full_debug (
21746
21747    clk_i,
21748    rst_i,
21749    stall_a,
21750    stall_x,
21751    stall_m,
21752    address_x,
21753    address_m,
21754    load_q_m,
21755    store_q_m,
21756    store_data,
21757    store_byte_select,
21758    refill_ready,
21759    refill_data,
21760    dflush,
21761
21762    stall_request,
21763    restart_request,
21764    refill_request,
21765    refill_address,
21766    refilling,
21767    load_data
21768    );
21769
21770
21771
21772
21773
21774parameter associativity = 1;
21775parameter sets = 512;
21776parameter bytes_per_line = 16;
21777parameter base_address = 0;
21778parameter limit = 0;
21779
21780localparam addr_offset_width = clogb2(bytes_per_line)-1-2;
21781localparam addr_set_width = clogb2(sets)-1;
21782localparam addr_offset_lsb = 2;
21783localparam addr_offset_msb = (addr_offset_lsb+addr_offset_width-1);
21784localparam addr_set_lsb = (addr_offset_msb+1);
21785localparam addr_set_msb = (addr_set_lsb+addr_set_width-1);
21786localparam addr_tag_lsb = (addr_set_msb+1);
21787localparam addr_tag_msb = clogb2( 32'h7fffffff- 32'h0)-1;
21788localparam addr_tag_width = (addr_tag_msb-addr_tag_lsb+1);
21789
21790
21791
21792
21793
21794input clk_i;
21795input rst_i;
21796
21797input stall_a;
21798input stall_x;
21799input stall_m;
21800
21801input [ (32-1):0] address_x;
21802input [ (32-1):0] address_m;
21803input load_q_m;
21804input store_q_m;
21805input [ (32-1):0] store_data;
21806input [ (4-1):0] store_byte_select;
21807
21808input refill_ready;
21809input [ (32-1):0] refill_data;
21810
21811input dflush;
21812
21813
21814
21815
21816
21817output stall_request;
21818wire   stall_request;
21819output restart_request;
21820reg    restart_request;
21821output refill_request;
21822reg    refill_request;
21823output [ (32-1):0] refill_address;
21824reg    [ (32-1):0] refill_address;
21825output refilling;
21826reg    refilling;
21827output [ (32-1):0] load_data;
21828wire   [ (32-1):0] load_data;
21829
21830
21831
21832
21833
21834wire read_port_enable;
21835wire write_port_enable;
21836wire [0:associativity-1] way_tmem_we;
21837wire [0:associativity-1] way_dmem_we;
21838wire [ (32-1):0] way_data[0:associativity-1];
21839wire [ ((addr_tag_width+1)-1):1] way_tag[0:associativity-1];
21840wire [0:associativity-1] way_valid;
21841wire [0:associativity-1] way_match;
21842wire miss;
21843
21844wire [ (addr_set_width-1):0] tmem_read_address;
21845wire [ (addr_set_width-1):0] tmem_write_address;
21846wire [ ((addr_offset_width+addr_set_width)-1):0] dmem_read_address;
21847wire [ ((addr_offset_width+addr_set_width)-1):0] dmem_write_address;
21848wire [ ((addr_tag_width+1)-1):0] tmem_write_data;
21849reg [ (32-1):0] dmem_write_data;
21850
21851reg [ 2:0] state;
21852wire flushing;
21853wire check;
21854wire refill;
21855
21856wire valid_store;
21857reg [associativity-1:0] refill_way_select;
21858reg [ addr_offset_msb:addr_offset_lsb] refill_offset;
21859wire last_refill;
21860reg [ (addr_set_width-1):0] flush_set;
21861
21862genvar i, j;
21863
21864
21865
21866
21867
21868
21869
21870
21871
21872
21873
21874
21875
21876
21877
21878
21879
21880
21881
21882
21883
21884
21885
21886
21887
21888
21889
21890
21891
21892
21893
21894
21895
21896
21897
21898function integer clogb2;
21899input [31:0] value;
21900begin
21901   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
21902        value = value >> 1;
21903end
21904endfunction
21905
21906function integer clogb2_v1;
21907input [31:0] value;
21908reg   [31:0] i;
21909reg   [31:0] temp;
21910begin
21911   temp = 0;
21912   i    = 0;
21913   for (i = 0; temp < value; i = i + 1)
21914	temp = 1<<i;
21915   clogb2_v1 = i-1;
21916end
21917endfunction
21918
21919
21920
21921
21922
21923
21924
21925
21926   generate
21927      for (i = 0; i < associativity; i = i + 1)
21928	begin : memories
21929
21930           if ( (addr_offset_width+addr_set_width) < 11)
21931             begin : data_memories
21932		lm32_ram
21933		  #(
21934
21935		    .data_width (32),
21936		    .address_width ( (addr_offset_width+addr_set_width))
21937
21938		    ) way_0_data_ram
21939		    (
21940
21941		     .read_clk (clk_i),
21942		     .write_clk (clk_i),
21943		     .reset (rst_i),
21944		     .read_address (dmem_read_address),
21945		     .enable_read (read_port_enable),
21946		     .write_address (dmem_write_address),
21947		     .enable_write (write_port_enable),
21948		     .write_enable (way_dmem_we[i]),
21949		     .write_data (dmem_write_data),
21950
21951		     .read_data (way_data[i])
21952		     );
21953             end
21954           else
21955             begin
21956		for (j = 0; j < 4; j = j + 1)
21957		  begin : byte_memories
21958		     lm32_ram
21959		       #(
21960
21961			 .data_width (8),
21962			 .address_width ( (addr_offset_width+addr_set_width))
21963
21964			 ) way_0_data_ram
21965			 (
21966
21967			  .read_clk (clk_i),
21968			  .write_clk (clk_i),
21969			  .reset (rst_i),
21970			  .read_address (dmem_read_address),
21971			  .enable_read (read_port_enable),
21972			  .write_address (dmem_write_address),
21973			  .enable_write (write_port_enable),
21974			  .write_enable (way_dmem_we[i] & (store_byte_select[j] | refill)),
21975			  .write_data (dmem_write_data[(j+1)*8-1:j*8]),
21976
21977			  .read_data (way_data[i][(j+1)*8-1:j*8])
21978			  );
21979		  end
21980             end
21981
21982
21983	   lm32_ram
21984	     #(
21985
21986	       .data_width ( (addr_tag_width+1)),
21987	       .address_width ( addr_set_width)
21988
21989	       ) way_0_tag_ram
21990	       (
21991
21992		.read_clk (clk_i),
21993		.write_clk (clk_i),
21994		.reset (rst_i),
21995		.read_address (tmem_read_address),
21996		.enable_read (read_port_enable),
21997		.write_address (tmem_write_address),
21998		.enable_write ( 1'b1),
21999		.write_enable (way_tmem_we[i]),
22000		.write_data (tmem_write_data),
22001
22002		.read_data ({way_tag[i], way_valid[i]})
22003		);
22004	end
22005
22006   endgenerate
22007
22008
22009
22010
22011
22012
22013generate
22014    for (i = 0; i < associativity; i = i + 1)
22015    begin : match
22016assign way_match[i] = ({way_tag[i], way_valid[i]} == {address_m[ addr_tag_msb:addr_tag_lsb],  1'b1});
22017    end
22018endgenerate
22019
22020
22021generate
22022    if (associativity == 1)
22023	 begin : data_1
22024assign load_data = way_data[0];
22025    end
22026    else if (associativity == 2)
22027	 begin : data_2
22028assign load_data = way_match[0] ? way_data[0] : way_data[1];
22029    end
22030endgenerate
22031
22032generate
22033    if ( (addr_offset_width+addr_set_width) < 11)
22034    begin
22035
22036always @(*)
22037begin
22038    if (refill ==  1'b1)
22039        dmem_write_data = refill_data;
22040    else
22041    begin
22042        dmem_write_data[ 7:0] = store_byte_select[0] ? store_data[ 7:0] : load_data[ 7:0];
22043        dmem_write_data[ 15:8] = store_byte_select[1] ? store_data[ 15:8] : load_data[ 15:8];
22044        dmem_write_data[ 23:16] = store_byte_select[2] ? store_data[ 23:16] : load_data[ 23:16];
22045        dmem_write_data[ 31:24] = store_byte_select[3] ? store_data[ 31:24] : load_data[ 31:24];
22046    end
22047end
22048    end
22049    else
22050    begin
22051
22052always @(*)
22053begin
22054    if (refill ==  1'b1)
22055        dmem_write_data = refill_data;
22056    else
22057        dmem_write_data = store_data;
22058end
22059    end
22060endgenerate
22061
22062
22063generate
22064     if (bytes_per_line > 4)
22065assign dmem_write_address = (refill ==  1'b1)
22066                            ? {refill_address[ addr_set_msb:addr_set_lsb], refill_offset}
22067                            : address_m[ addr_set_msb:addr_offset_lsb];
22068    else
22069assign dmem_write_address = (refill ==  1'b1)
22070                            ? refill_address[ addr_set_msb:addr_set_lsb]
22071                            : address_m[ addr_set_msb:addr_offset_lsb];
22072endgenerate
22073assign dmem_read_address = address_x[ addr_set_msb:addr_offset_lsb];
22074
22075assign tmem_write_address = (flushing ==  1'b1)
22076                            ? flush_set
22077                            : refill_address[ addr_set_msb:addr_set_lsb];
22078assign tmem_read_address = address_x[ addr_set_msb:addr_set_lsb];
22079
22080
22081generate
22082    if (bytes_per_line > 4)
22083assign last_refill = refill_offset == {addr_offset_width{1'b1}};
22084    else
22085assign last_refill =  1'b1;
22086endgenerate
22087
22088
22089assign read_port_enable = (stall_x ==  1'b0);
22090assign write_port_enable = (refill_ready ==  1'b1) || !stall_m;
22091
22092
22093assign valid_store = (store_q_m ==  1'b1) && (check ==  1'b1);
22094
22095
22096generate
22097    if (associativity == 1)
22098    begin : we_1
22099assign way_dmem_we[0] = (refill_ready ==  1'b1) || ((valid_store ==  1'b1) && (way_match[0] ==  1'b1));
22100assign way_tmem_we[0] = (refill_ready ==  1'b1) || (flushing ==  1'b1);
22101    end
22102    else
22103    begin : we_2
22104assign way_dmem_we[0] = ((refill_ready ==  1'b1) && (refill_way_select[0] ==  1'b1)) || ((valid_store ==  1'b1) && (way_match[0] ==  1'b1));
22105assign way_dmem_we[1] = ((refill_ready ==  1'b1) && (refill_way_select[1] ==  1'b1)) || ((valid_store ==  1'b1) && (way_match[1] ==  1'b1));
22106assign way_tmem_we[0] = ((refill_ready ==  1'b1) && (refill_way_select[0] ==  1'b1)) || (flushing ==  1'b1);
22107assign way_tmem_we[1] = ((refill_ready ==  1'b1) && (refill_way_select[1] ==  1'b1)) || (flushing ==  1'b1);
22108    end
22109endgenerate
22110
22111
22112assign tmem_write_data[ 0] = ((last_refill ==  1'b1) || (valid_store ==  1'b1)) && (flushing ==  1'b0);
22113assign tmem_write_data[ ((addr_tag_width+1)-1):1] = refill_address[ addr_tag_msb:addr_tag_lsb];
22114
22115
22116assign flushing = state[0];
22117assign check = state[1];
22118assign refill = state[2];
22119
22120assign miss = (~(|way_match)) && (load_q_m ==  1'b1) && (stall_m ==  1'b0);
22121assign stall_request = (check ==  1'b0);
22122
22123
22124
22125
22126
22127
22128generate
22129    if (associativity >= 2)
22130    begin : way_select
22131always @(posedge clk_i  )
22132begin
22133    if (rst_i ==  1'b1)
22134        refill_way_select <= {{associativity-1{1'b0}}, 1'b1};
22135    else
22136    begin
22137        if (refill_request ==  1'b1)
22138            refill_way_select <= {refill_way_select[0], refill_way_select[1]};
22139    end
22140end
22141    end
22142endgenerate
22143
22144
22145always @(posedge clk_i  )
22146begin
22147    if (rst_i ==  1'b1)
22148        refilling <=  1'b0;
22149    else
22150        refilling <= refill;
22151end
22152
22153
22154always @(posedge clk_i  )
22155begin
22156    if (rst_i ==  1'b1)
22157    begin
22158        state <=  3'b001;
22159        flush_set <= { addr_set_width{1'b1}};
22160        refill_request <=  1'b0;
22161        refill_address <= { 32{1'bx}};
22162        restart_request <=  1'b0;
22163    end
22164    else
22165    begin
22166        case (state)
22167
22168
22169         3'b001:
22170        begin
22171            if (flush_set == { addr_set_width{1'b0}})
22172                state <=  3'b010;
22173            flush_set <= flush_set - 1'b1;
22174        end
22175
22176
22177         3'b010:
22178        begin
22179            if (stall_a ==  1'b0)
22180                restart_request <=  1'b0;
22181            if (miss ==  1'b1)
22182            begin
22183                refill_request <=  1'b1;
22184                refill_address <= address_m;
22185                state <=  3'b100;
22186            end
22187            else if (dflush ==  1'b1)
22188                state <=  3'b001;
22189        end
22190
22191
22192         3'b100:
22193        begin
22194            refill_request <=  1'b0;
22195            if (refill_ready ==  1'b1)
22196            begin
22197                if (last_refill ==  1'b1)
22198                begin
22199                    restart_request <=  1'b1;
22200                    state <=  3'b010;
22201                end
22202            end
22203        end
22204
22205        endcase
22206    end
22207end
22208
22209generate
22210    if (bytes_per_line > 4)
22211    begin
22212
22213always @(posedge clk_i  )
22214begin
22215    if (rst_i ==  1'b1)
22216        refill_offset <= {addr_offset_width{1'b0}};
22217    else
22218    begin
22219        case (state)
22220
22221
22222         3'b010:
22223        begin
22224            if (miss ==  1'b1)
22225                refill_offset <= {addr_offset_width{1'b0}};
22226        end
22227
22228
22229         3'b100:
22230        begin
22231            if (refill_ready ==  1'b1)
22232                refill_offset <= refill_offset + 1'b1;
22233        end
22234
22235        endcase
22236    end
22237end
22238    end
22239endgenerate
22240
22241endmodule
22242
22243
22244
22245
22246
22247
22248
22249
22250
22251
22252
22253
22254
22255
22256
22257
22258
22259
22260
22261
22262
22263
22264
22265
22266
22267
22268
22269
22270
22271
22272
22273
22274
22275
22276
22277
22278
22279
22280
22281
22282
22283
22284
22285
22286
22287
22288
22289
22290
22291
22292
22293
22294
22295
22296
22297
22298
22299
22300
22301
22302
22303
22304
22305
22306
22307
22308
22309
22310
22311
22312
22313
22314
22315
22316
22317
22318
22319
22320
22321
22322
22323
22324
22325
22326
22327
22328
22329
22330
22331
22332
22333
22334
22335
22336
22337
22338
22339
22340
22341
22342
22343
22344
22345
22346
22347
22348
22349
22350
22351
22352
22353
22354
22355
22356
22357
22358
22359
22360
22361
22362
22363
22364
22365
22366
22367
22368
22369
22370
22371
22372
22373
22374
22375
22376
22377
22378
22379
22380
22381
22382
22383
22384
22385
22386
22387
22388
22389
22390
22391
22392
22393
22394
22395
22396
22397
22398
22399
22400
22401
22402
22403
22404
22405
22406
22407
22408
22409
22410
22411
22412
22413
22414
22415
22416
22417
22418
22419
22420
22421
22422
22423
22424
22425
22426
22427
22428
22429
22430
22431
22432
22433
22434
22435
22436
22437
22438
22439
22440
22441
22442
22443
22444
22445
22446
22447
22448
22449
22450
22451
22452
22453
22454
22455
22456
22457
22458
22459
22460
22461
22462
22463
22464
22465
22466
22467
22468
22469
22470
22471
22472
22473
22474
22475
22476
22477
22478
22479
22480
22481
22482
22483
22484
22485
22486
22487
22488
22489
22490
22491
22492
22493
22494
22495
22496
22497
22498
22499
22500
22501
22502
22503
22504
22505
22506
22507
22508
22509
22510
22511
22512
22513
22514
22515
22516
22517
22518
22519
22520
22521
22522
22523
22524
22525
22526
22527
22528
22529
22530
22531
22532
22533
22534
22535
22536
22537
22538
22539
22540
22541
22542
22543
22544
22545
22546
22547
22548
22549
22550
22551
22552
22553
22554
22555
22556
22557
22558
22559
22560
22561
22562
22563
22564
22565
22566
22567
22568
22569
22570
22571
22572
22573
22574
22575
22576
22577
22578
22579
22580
22581
22582
22583
22584
22585
22586
22587
22588
22589
22590
22591
22592
22593
22594
22595
22596
22597
22598
22599
22600
22601
22602
22603
22604
22605
22606
22607
22608
22609
22610
22611
22612
22613
22614
22615
22616
22617
22618
22619
22620
22621
22622
22623
22624
22625
22626module lm32_debug_full_debug (
22627
22628    clk_i,
22629    rst_i,
22630    pc_x,
22631    load_x,
22632    store_x,
22633    load_store_address_x,
22634    csr_write_enable_x,
22635    csr_write_data,
22636    csr_x,
22637
22638
22639
22640
22641    jtag_csr_write_enable,
22642    jtag_csr_write_data,
22643    jtag_csr,
22644
22645
22646
22647
22648
22649
22650
22651
22652
22653
22654
22655
22656
22657
22658    eret_q_x,
22659    bret_q_x,
22660    stall_x,
22661    exception_x,
22662    q_x,
22663
22664
22665    dcache_refill_request,
22666
22667
22668
22669
22670
22671
22672
22673
22674    dc_ss,
22675
22676
22677    dc_re,
22678    bp_match,
22679    wp_match
22680    );
22681
22682
22683
22684
22685
22686parameter breakpoints = 0;
22687parameter watchpoints = 0;
22688
22689
22690
22691
22692
22693input clk_i;
22694input rst_i;
22695
22696input [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_x;
22697input load_x;
22698input store_x;
22699input [ (32-1):0] load_store_address_x;
22700input csr_write_enable_x;
22701input [ (32-1):0] csr_write_data;
22702input [ (5-1):0] csr_x;
22703
22704
22705
22706
22707input jtag_csr_write_enable;
22708input [ (32-1):0] jtag_csr_write_data;
22709input [ (5-1):0] jtag_csr;
22710
22711
22712
22713
22714
22715
22716
22717
22718
22719
22720
22721
22722
22723
22724input eret_q_x;
22725input bret_q_x;
22726input stall_x;
22727input exception_x;
22728input q_x;
22729
22730
22731input dcache_refill_request;
22732
22733
22734
22735
22736
22737
22738
22739
22740
22741
22742
22743output dc_ss;
22744reg    dc_ss;
22745
22746
22747output dc_re;
22748reg    dc_re;
22749output bp_match;
22750wire   bp_match;
22751output wp_match;
22752wire   wp_match;
22753
22754
22755
22756
22757
22758genvar i;
22759
22760
22761
22762reg [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] bp_a[0:breakpoints-1];
22763reg bp_e[0:breakpoints-1];
22764wire [0:breakpoints-1]bp_match_n;
22765
22766reg [ 1:0] wpc_c[0:watchpoints-1];
22767reg [ (32-1):0] wp[0:watchpoints-1];
22768wire [0:watchpoints-1]wp_match_n;
22769
22770wire debug_csr_write_enable;
22771wire [ (32-1):0] debug_csr_write_data;
22772wire [ (5-1):0] debug_csr;
22773
22774
22775
22776
22777reg [ 2:0] state;
22778
22779
22780
22781
22782
22783
22784
22785
22786
22787
22788
22789
22790
22791
22792
22793
22794
22795
22796
22797
22798
22799
22800
22801
22802
22803
22804
22805
22806
22807
22808
22809
22810
22811
22812
22813
22814
22815
22816function integer clogb2;
22817input [31:0] value;
22818begin
22819   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
22820        value = value >> 1;
22821end
22822endfunction
22823
22824function integer clogb2_v1;
22825input [31:0] value;
22826reg   [31:0] i;
22827reg   [31:0] temp;
22828begin
22829   temp = 0;
22830   i    = 0;
22831   for (i = 0; temp < value; i = i + 1)
22832	temp = 1<<i;
22833   clogb2_v1 = i-1;
22834end
22835endfunction
22836
22837
22838
22839
22840
22841
22842
22843
22844
22845generate
22846    for (i = 0; i < breakpoints; i = i + 1)
22847    begin : bp_comb
22848assign bp_match_n[i] = ((bp_a[i] == pc_x) && (bp_e[i] ==  1'b1));
22849    end
22850endgenerate
22851generate
22852
22853
22854    if (breakpoints > 0)
22855assign bp_match = (|bp_match_n) || (state ==  3'b011);
22856    else
22857assign bp_match = state ==  3'b011;
22858
22859
22860
22861
22862
22863
22864
22865endgenerate
22866
22867
22868generate
22869    for (i = 0; i < watchpoints; i = i + 1)
22870    begin : wp_comb
22871assign wp_match_n[i] = (wp[i] == load_store_address_x) && ((load_x & wpc_c[i][0]) | (store_x & wpc_c[i][1]));
22872    end
22873endgenerate
22874generate
22875    if (watchpoints > 0)
22876assign wp_match = |wp_match_n;
22877    else
22878assign wp_match =  1'b0;
22879endgenerate
22880
22881
22882
22883
22884
22885
22886assign debug_csr_write_enable = (csr_write_enable_x ==  1'b1) || (jtag_csr_write_enable ==  1'b1);
22887assign debug_csr_write_data = jtag_csr_write_enable ==  1'b1 ? jtag_csr_write_data : csr_write_data;
22888assign debug_csr = jtag_csr_write_enable ==  1'b1 ? jtag_csr : csr_x;
22889
22890
22891
22892
22893
22894
22895
22896
22897
22898
22899
22900
22901
22902
22903
22904
22905
22906
22907
22908
22909
22910
22911
22912generate
22913    for (i = 0; i < breakpoints; i = i + 1)
22914    begin : bp_seq
22915always @(posedge clk_i  )
22916begin
22917    if (rst_i ==  1'b1)
22918    begin
22919        bp_a[i] <= { (clogb2(32'h7fffffff-32'h0)-2){1'bx}};
22920        bp_e[i] <=  1'b0;
22921    end
22922    else
22923    begin
22924        if ((debug_csr_write_enable ==  1'b1) && (debug_csr ==  5'h10 + i))
22925        begin
22926            bp_a[i] <= debug_csr_write_data[ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2];
22927            bp_e[i] <= debug_csr_write_data[0];
22928        end
22929    end
22930end
22931    end
22932endgenerate
22933
22934
22935generate
22936    for (i = 0; i < watchpoints; i = i + 1)
22937    begin : wp_seq
22938always @(posedge clk_i  )
22939begin
22940    if (rst_i ==  1'b1)
22941    begin
22942        wp[i] <= { 32{1'bx}};
22943        wpc_c[i] <=  2'b00;
22944    end
22945    else
22946    begin
22947        if (debug_csr_write_enable ==  1'b1)
22948        begin
22949            if (debug_csr ==  5'h8)
22950                wpc_c[i] <= debug_csr_write_data[3+i*2:2+i*2];
22951            if (debug_csr ==  5'h18 + i)
22952                wp[i] <= debug_csr_write_data;
22953        end
22954    end
22955end
22956    end
22957endgenerate
22958
22959
22960always @(posedge clk_i  )
22961begin
22962    if (rst_i ==  1'b1)
22963        dc_re <=  1'b0;
22964    else
22965    begin
22966        if ((debug_csr_write_enable ==  1'b1) && (debug_csr ==  5'h8))
22967            dc_re <= debug_csr_write_data[1];
22968    end
22969end
22970
22971
22972
22973
22974always @(posedge clk_i  )
22975begin
22976    if (rst_i ==  1'b1)
22977    begin
22978        state <=  3'b000;
22979        dc_ss <=  1'b0;
22980    end
22981    else
22982    begin
22983        if ((debug_csr_write_enable ==  1'b1) && (debug_csr ==  5'h8))
22984        begin
22985            dc_ss <= debug_csr_write_data[0];
22986            if (debug_csr_write_data[0] ==  1'b0)
22987                state <=  3'b000;
22988            else
22989                state <=  3'b001;
22990        end
22991        case (state)
22992         3'b001:
22993        begin
22994
22995            if (   (   (eret_q_x ==  1'b1)
22996                    || (bret_q_x ==  1'b1)
22997                    )
22998                && (stall_x ==  1'b0)
22999               )
23000                state <=  3'b010;
23001        end
23002         3'b010:
23003        begin
23004
23005            if ((q_x ==  1'b1) && (stall_x ==  1'b0))
23006                state <=  3'b011;
23007        end
23008         3'b011:
23009        begin
23010
23011
23012
23013            if (dcache_refill_request ==  1'b1)
23014                state <=  3'b010;
23015            else
23016
23017
23018                 if ((exception_x ==  1'b1) && (q_x ==  1'b1) && (stall_x ==  1'b0))
23019            begin
23020                dc_ss <=  1'b0;
23021                state <=  3'b100;
23022            end
23023        end
23024         3'b100:
23025        begin
23026
23027
23028
23029            if (dcache_refill_request ==  1'b1)
23030                state <=  3'b010;
23031            else
23032
23033
23034                state <=  3'b000;
23035        end
23036        endcase
23037    end
23038end
23039
23040
23041
23042endmodule
23043
23044
23045
23046
23047
23048
23049
23050
23051
23052
23053
23054
23055
23056
23057
23058
23059
23060
23061
23062
23063
23064
23065
23066
23067
23068
23069
23070
23071
23072
23073
23074
23075
23076
23077
23078
23079
23080
23081
23082
23083
23084
23085
23086
23087
23088
23089
23090
23091
23092
23093
23094
23095
23096
23097
23098
23099
23100
23101
23102
23103
23104
23105
23106
23107
23108
23109
23110
23111
23112
23113
23114
23115
23116
23117
23118
23119
23120
23121
23122
23123
23124
23125
23126
23127
23128
23129
23130
23131
23132
23133
23134
23135
23136
23137
23138
23139
23140
23141
23142
23143
23144
23145
23146
23147
23148
23149
23150
23151
23152
23153
23154
23155
23156
23157
23158
23159
23160
23161
23162
23163
23164
23165
23166
23167
23168
23169
23170
23171
23172
23173
23174
23175
23176
23177
23178
23179
23180
23181
23182
23183
23184
23185
23186
23187
23188
23189
23190
23191
23192
23193
23194
23195
23196
23197
23198
23199
23200
23201
23202
23203
23204
23205
23206
23207
23208
23209
23210
23211
23212
23213
23214
23215
23216
23217
23218
23219
23220
23221
23222
23223
23224
23225
23226
23227
23228
23229
23230
23231
23232
23233
23234
23235
23236
23237
23238
23239
23240
23241
23242
23243
23244
23245
23246
23247
23248
23249
23250
23251
23252
23253
23254
23255
23256
23257
23258
23259
23260
23261
23262
23263
23264
23265
23266
23267
23268
23269
23270
23271
23272
23273
23274
23275
23276
23277
23278
23279
23280
23281
23282
23283
23284
23285
23286
23287
23288
23289
23290
23291
23292
23293
23294
23295
23296
23297
23298
23299
23300
23301
23302
23303
23304
23305
23306
23307
23308
23309
23310
23311
23312
23313
23314
23315
23316
23317
23318
23319
23320
23321
23322
23323
23324
23325
23326
23327
23328
23329
23330
23331
23332
23333
23334
23335
23336
23337
23338
23339
23340
23341
23342
23343
23344
23345
23346
23347
23348
23349
23350
23351
23352
23353
23354
23355
23356
23357
23358
23359
23360
23361
23362
23363
23364
23365
23366
23367
23368
23369
23370
23371
23372
23373
23374
23375
23376
23377
23378
23379
23380
23381
23382
23383
23384
23385
23386
23387
23388
23389
23390
23391
23392
23393
23394
23395
23396
23397
23398
23399
23400
23401
23402
23403
23404
23405
23406
23407
23408
23409
23410
23411
23412
23413
23414
23415
23416
23417
23418
23419
23420
23421
23422
23423
23424module lm32_instruction_unit_full_debug (
23425
23426    clk_i,
23427    rst_i,
23428
23429    stall_a,
23430    stall_f,
23431    stall_d,
23432    stall_x,
23433    stall_m,
23434    valid_f,
23435    valid_d,
23436    kill_f,
23437    branch_predict_taken_d,
23438    branch_predict_address_d,
23439
23440
23441    branch_taken_x,
23442    branch_target_x,
23443
23444
23445    exception_m,
23446    branch_taken_m,
23447    branch_mispredict_taken_m,
23448    branch_target_m,
23449
23450
23451    iflush,
23452
23453
23454
23455
23456    dcache_restart_request,
23457    dcache_refill_request,
23458    dcache_refilling,
23459
23460
23461
23462
23463
23464    i_dat_i,
23465    i_ack_i,
23466    i_err_i,
23467    i_rty_i,
23468
23469
23470
23471
23472    jtag_read_enable,
23473    jtag_write_enable,
23474    jtag_write_data,
23475    jtag_address,
23476
23477
23478
23479
23480    pc_f,
23481    pc_d,
23482    pc_x,
23483    pc_m,
23484    pc_w,
23485
23486
23487    icache_stall_request,
23488    icache_restart_request,
23489    icache_refill_request,
23490    icache_refilling,
23491
23492
23493
23494
23495
23496    i_dat_o,
23497    i_adr_o,
23498    i_cyc_o,
23499    i_sel_o,
23500    i_stb_o,
23501    i_we_o,
23502    i_cti_o,
23503    i_lock_o,
23504    i_bte_o,
23505
23506
23507
23508
23509
23510
23511
23512
23513
23514
23515    jtag_read_data,
23516    jtag_access_complete,
23517
23518
23519
23520
23521    bus_error_d,
23522
23523
23524
23525
23526    instruction_f,
23527
23528
23529    instruction_d
23530    );
23531
23532
23533
23534
23535
23536parameter eba_reset =  32'h00000000;
23537parameter associativity = 1;
23538parameter sets = 512;
23539parameter bytes_per_line = 16;
23540parameter base_address = 0;
23541parameter limit = 0;
23542
23543
23544localparam eba_reset_minus_4 = eba_reset - 4;
23545localparam addr_offset_width = bytes_per_line == 4 ? 1 : clogb2(bytes_per_line)-1-2;
23546localparam addr_offset_lsb = 2;
23547localparam addr_offset_msb = (addr_offset_lsb+addr_offset_width-1);
23548
23549
23550
23551
23552
23553
23554
23555
23556
23557
23558
23559
23560input clk_i;
23561input rst_i;
23562
23563input stall_a;
23564input stall_f;
23565input stall_d;
23566input stall_x;
23567input stall_m;
23568input valid_f;
23569input valid_d;
23570input kill_f;
23571
23572input branch_predict_taken_d;
23573input [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_predict_address_d;
23574
23575
23576
23577input branch_taken_x;
23578input [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_target_x;
23579
23580
23581input exception_m;
23582input branch_taken_m;
23583input branch_mispredict_taken_m;
23584input [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_target_m;
23585
23586
23587
23588input iflush;
23589
23590
23591
23592
23593input dcache_restart_request;
23594input dcache_refill_request;
23595input dcache_refilling;
23596
23597
23598
23599
23600
23601
23602input [ (32-1):0] i_dat_i;
23603input i_ack_i;
23604input i_err_i;
23605input i_rty_i;
23606
23607
23608
23609
23610
23611input jtag_read_enable;
23612input jtag_write_enable;
23613input [ 7:0] jtag_write_data;
23614input [ (32-1):0] jtag_address;
23615
23616
23617
23618
23619
23620
23621
23622output [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_f;
23623reg    [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_f;
23624output [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_d;
23625reg    [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_d;
23626output [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_x;
23627reg    [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_x;
23628output [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_m;
23629reg    [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_m;
23630output [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_w;
23631reg    [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_w;
23632
23633
23634
23635output icache_stall_request;
23636wire   icache_stall_request;
23637output icache_restart_request;
23638wire   icache_restart_request;
23639output icache_refill_request;
23640wire   icache_refill_request;
23641output icache_refilling;
23642wire   icache_refilling;
23643
23644
23645
23646
23647
23648output [ (32-1):0] i_dat_o;
23649
23650
23651reg    [ (32-1):0] i_dat_o;
23652
23653
23654
23655
23656output [ (32-1):0] i_adr_o;
23657reg    [ (32-1):0] i_adr_o;
23658output i_cyc_o;
23659reg    i_cyc_o;
23660output [ (4-1):0] i_sel_o;
23661
23662
23663reg    [ (4-1):0] i_sel_o;
23664
23665
23666
23667
23668output i_stb_o;
23669reg    i_stb_o;
23670output i_we_o;
23671
23672
23673reg    i_we_o;
23674
23675
23676
23677
23678output [ (3-1):0] i_cti_o;
23679reg    [ (3-1):0] i_cti_o;
23680output i_lock_o;
23681reg    i_lock_o;
23682output [ (2-1):0] i_bte_o;
23683wire   [ (2-1):0] i_bte_o;
23684
23685
23686
23687
23688
23689output [ 7:0] jtag_read_data;
23690reg    [ 7:0] jtag_read_data;
23691output jtag_access_complete;
23692wire   jtag_access_complete;
23693
23694
23695
23696
23697
23698output bus_error_d;
23699reg    bus_error_d;
23700
23701
23702
23703
23704output [ (32-1):0] instruction_f;
23705wire   [ (32-1):0] instruction_f;
23706
23707
23708output [ (32-1):0] instruction_d;
23709reg    [ (32-1):0] instruction_d;
23710
23711
23712
23713
23714
23715reg [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_a;
23716
23717
23718
23719reg [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] restart_address;
23720
23721
23722
23723
23724
23725wire icache_read_enable_f;
23726wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] icache_refill_address;
23727reg icache_refill_ready;
23728reg [ (32-1):0] icache_refill_data;
23729wire [ (32-1):0] icache_data_f;
23730wire [ (3-1):0] first_cycle_type;
23731wire [ (3-1):0] next_cycle_type;
23732wire last_word;
23733wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] first_address;
23734
23735
23736
23737
23738
23739
23740
23741
23742
23743
23744
23745
23746
23747
23748
23749
23750
23751
23752
23753
23754
23755
23756
23757
23758   reg 			     bus_error_f;
23759
23760
23761
23762
23763
23764
23765
23766
23767
23768
23769
23770reg jtag_access;
23771
23772
23773
23774
23775
23776
23777
23778
23779
23780
23781
23782
23783
23784
23785
23786
23787
23788
23789
23790
23791
23792
23793
23794
23795
23796
23797
23798
23799
23800
23801
23802
23803
23804
23805
23806
23807
23808function integer clogb2;
23809input [31:0] value;
23810begin
23811   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
23812        value = value >> 1;
23813end
23814endfunction
23815
23816function integer clogb2_v1;
23817input [31:0] value;
23818reg   [31:0] i;
23819reg   [31:0] temp;
23820begin
23821   temp = 0;
23822   i    = 0;
23823   for (i = 0; temp < value; i = i + 1)
23824	temp = 1<<i;
23825   clogb2_v1 = i-1;
23826end
23827endfunction
23828
23829
23830
23831
23832
23833
23834
23835
23836
23837
23838
23839
23840lm32_icache_full_debug #(
23841    .associativity          (associativity),
23842    .sets                   (sets),
23843    .bytes_per_line         (bytes_per_line),
23844    .base_address           (base_address),
23845    .limit                  (limit)
23846    ) icache (
23847
23848    .clk_i                  (clk_i),
23849    .rst_i                  (rst_i),
23850    .stall_a                (stall_a),
23851    .stall_f                (stall_f),
23852    .branch_predict_taken_d (branch_predict_taken_d),
23853    .valid_d                (valid_d),
23854    .address_a              (pc_a),
23855    .address_f              (pc_f),
23856    .read_enable_f          (icache_read_enable_f),
23857    .refill_ready           (icache_refill_ready),
23858    .refill_data            (icache_refill_data),
23859    .iflush                 (iflush),
23860
23861    .stall_request          (icache_stall_request),
23862    .restart_request        (icache_restart_request),
23863    .refill_request         (icache_refill_request),
23864    .refill_address         (icache_refill_address),
23865    .refilling              (icache_refilling),
23866    .inst                   (icache_data_f)
23867    );
23868
23869
23870
23871
23872
23873
23874
23875
23876
23877
23878   assign icache_read_enable_f =    (valid_f ==  1'b1)
23879     && (kill_f ==  1'b0)
23880
23881
23882   && (dcache_restart_request ==  1'b0)
23883
23884
23885
23886
23887
23888
23889				    ;
23890
23891
23892
23893
23894always @(*)
23895begin
23896
23897
23898
23899    if (dcache_restart_request ==  1'b1)
23900        pc_a = restart_address;
23901    else
23902
23903
23904      if (branch_taken_m ==  1'b1)
23905	if ((branch_mispredict_taken_m ==  1'b1) && (exception_m ==  1'b0))
23906	  pc_a = pc_x;
23907	else
23908          pc_a = branch_target_m;
23909
23910
23911      else if (branch_taken_x ==  1'b1)
23912        pc_a = branch_target_x;
23913
23914
23915      else
23916	if ( (valid_d ==  1'b1) && (branch_predict_taken_d ==  1'b1) )
23917	  pc_a = branch_predict_address_d;
23918	else
23919
23920
23921          if (icache_restart_request ==  1'b1)
23922            pc_a = restart_address;
23923	  else
23924
23925
23926            pc_a = pc_f + 1'b1;
23927end
23928
23929
23930
23931
23932
23933
23934
23935
23936
23937
23938
23939
23940
23941
23942
23943
23944
23945
23946
23947
23948
23949
23950
23951
23952
23953
23954
23955
23956
23957
23958
23959
23960
23961
23962
23963assign instruction_f = icache_data_f;
23964
23965
23966
23967
23968
23969
23970
23971
23972
23973
23974
23975
23976
23977
23978
23979
23980
23981
23982
23983assign i_bte_o =  2'b00;
23984
23985
23986
23987
23988
23989
23990generate
23991    case (bytes_per_line)
23992    4:
23993    begin
23994assign first_cycle_type =  3'b111;
23995assign next_cycle_type =  3'b111;
23996assign last_word =  1'b1;
23997assign first_address = icache_refill_address;
23998    end
23999    8:
24000    begin
24001assign first_cycle_type =  3'b010;
24002assign next_cycle_type =  3'b111;
24003assign last_word = i_adr_o[addr_offset_msb:addr_offset_lsb] == 1'b1;
24004assign first_address = {icache_refill_address[ (clogb2(32'h7fffffff-32'h0)-2)+2-1:addr_offset_msb+1], {addr_offset_width{1'b0}}};
24005    end
24006    16:
24007    begin
24008assign first_cycle_type =  3'b010;
24009assign next_cycle_type = i_adr_o[addr_offset_msb] == 1'b1 ?  3'b111 :  3'b010;
24010assign last_word = i_adr_o[addr_offset_msb:addr_offset_lsb] == 2'b11;
24011assign first_address = {icache_refill_address[ (clogb2(32'h7fffffff-32'h0)-2)+2-1:addr_offset_msb+1], {addr_offset_width{1'b0}}};
24012    end
24013    endcase
24014endgenerate
24015
24016
24017
24018
24019
24020
24021
24022
24023always @(posedge clk_i  )
24024begin
24025    if (rst_i ==  1'b1)
24026    begin
24027        pc_f <= eba_reset_minus_4[ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2];
24028        pc_d <= { (clogb2(32'h7fffffff-32'h0)-2){1'b0}};
24029        pc_x <= { (clogb2(32'h7fffffff-32'h0)-2){1'b0}};
24030        pc_m <= { (clogb2(32'h7fffffff-32'h0)-2){1'b0}};
24031        pc_w <= { (clogb2(32'h7fffffff-32'h0)-2){1'b0}};
24032    end
24033    else
24034    begin
24035        if (stall_f ==  1'b0)
24036            pc_f <= pc_a;
24037        if (stall_d ==  1'b0)
24038            pc_d <= pc_f;
24039        if (stall_x ==  1'b0)
24040            pc_x <= pc_d;
24041        if (stall_m ==  1'b0)
24042            pc_m <= pc_x;
24043        pc_w <= pc_m;
24044    end
24045end
24046
24047
24048
24049
24050always @(posedge clk_i  )
24051begin
24052    if (rst_i ==  1'b1)
24053        restart_address <= { (clogb2(32'h7fffffff-32'h0)-2){1'b0}};
24054    else
24055    begin
24056
24057
24058
24059
24060
24061            if (dcache_refill_request ==  1'b1)
24062                restart_address <= pc_w;
24063            else if ((icache_refill_request ==  1'b1) && (!dcache_refilling) && (!dcache_restart_request))
24064                restart_address <= icache_refill_address;
24065
24066
24067
24068
24069
24070
24071
24072
24073
24074
24075
24076
24077    end
24078end
24079
24080
24081
24082
24083
24084
24085
24086
24087
24088
24089
24090
24091
24092
24093
24094
24095
24096
24097
24098
24099
24100
24101assign jtag_access_complete = (i_cyc_o ==  1'b1) && ((i_ack_i ==  1'b1) || (i_err_i ==  1'b1)) && (jtag_access ==  1'b1);
24102always @(*)
24103begin
24104    case (jtag_address[1:0])
24105    2'b00: jtag_read_data = i_dat_i[ 31:24];
24106    2'b01: jtag_read_data = i_dat_i[ 23:16];
24107    2'b10: jtag_read_data = i_dat_i[ 15:8];
24108    2'b11: jtag_read_data = i_dat_i[ 7:0];
24109    endcase
24110end
24111
24112
24113
24114
24115
24116
24117
24118
24119
24120
24121   always @(posedge clk_i  )
24122     begin
24123	if (rst_i ==  1'b1)
24124	  begin
24125             i_cyc_o <=  1'b0;
24126             i_stb_o <=  1'b0;
24127             i_adr_o <= { 32{1'b0}};
24128             i_cti_o <=  3'b111;
24129             i_lock_o <=  1'b0;
24130             icache_refill_data <= { 32{1'b0}};
24131             icache_refill_ready <=  1'b0;
24132
24133
24134             bus_error_f <=  1'b0;
24135
24136
24137
24138
24139             i_we_o <=  1'b0;
24140             i_sel_o <= 4'b1111;
24141             jtag_access <=  1'b0;
24142
24143
24144	  end
24145	else
24146	  begin
24147             icache_refill_ready <=  1'b0;
24148
24149             if (i_cyc_o ==  1'b1)
24150               begin
24151
24152		  if ((i_ack_i ==  1'b1) || (i_err_i ==  1'b1))
24153		    begin
24154
24155
24156                       if (jtag_access ==  1'b1)
24157			 begin
24158			    i_cyc_o <=  1'b0;
24159			    i_stb_o <=  1'b0;
24160			    i_we_o <=  1'b0;
24161			    jtag_access <=  1'b0;
24162			 end
24163                       else
24164
24165
24166			 begin
24167			    if (last_word ==  1'b1)
24168			      begin
24169
24170				 i_cyc_o <=  1'b0;
24171				 i_stb_o <=  1'b0;
24172				 i_lock_o <=  1'b0;
24173			      end
24174
24175			    i_adr_o[addr_offset_msb:addr_offset_lsb] <= i_adr_o[addr_offset_msb:addr_offset_lsb] + 1'b1;
24176			    i_cti_o <= next_cycle_type;
24177
24178			    icache_refill_ready <=  1'b1;
24179			    icache_refill_data <= i_dat_i;
24180			 end
24181		    end
24182
24183
24184
24185
24186		  if (i_err_i ==  1'b1)
24187		    begin
24188                       bus_error_f <=  1'b1;
24189                       $display ("Instruction bus error. Address: %x", i_adr_o);
24190		    end
24191
24192
24193
24194
24195               end
24196             else
24197               begin
24198		  if ((icache_refill_request ==  1'b1) && (icache_refill_ready ==  1'b0))
24199		    begin
24200
24201
24202
24203                       i_sel_o <= 4'b1111;
24204
24205
24206                       i_adr_o <= {first_address, 2'b00};
24207                       i_cyc_o <=  1'b1;
24208                       i_stb_o <=  1'b1;
24209                       i_cti_o <= first_cycle_type;
24210
24211
24212
24213                       bus_error_f <=  1'b0;
24214
24215
24216		    end
24217
24218
24219		  else
24220		    begin
24221                       if ((jtag_read_enable ==  1'b1) || (jtag_write_enable ==  1'b1))
24222			 begin
24223			    case (jtag_address[1:0])
24224			      2'b00: i_sel_o <= 4'b1000;
24225			      2'b01: i_sel_o <= 4'b0100;
24226			      2'b10: i_sel_o <= 4'b0010;
24227			      2'b11: i_sel_o <= 4'b0001;
24228			    endcase
24229			    i_adr_o <= jtag_address;
24230			    i_dat_o <= {4{jtag_write_data}};
24231			    i_cyc_o <=  1'b1;
24232			    i_stb_o <=  1'b1;
24233			    i_we_o <= jtag_write_enable;
24234			    i_cti_o <=  3'b111;
24235			    jtag_access <=  1'b1;
24236			 end
24237		    end
24238
24239
24240
24241
24242
24243
24244
24245
24246		  if (branch_taken_x ==  1'b1)
24247                    bus_error_f <=  1'b0;
24248
24249
24250		  if (branch_taken_m ==  1'b1)
24251                    bus_error_f <=  1'b0;
24252
24253
24254               end
24255	  end
24256     end
24257
24258
24259
24260
24261
24262
24263
24264
24265
24266
24267
24268
24269
24270
24271
24272
24273
24274
24275
24276
24277
24278
24279
24280
24281
24282
24283
24284
24285
24286
24287
24288
24289
24290
24291
24292
24293
24294
24295
24296
24297
24298
24299
24300
24301
24302
24303
24304
24305
24306
24307
24308
24309
24310
24311
24312
24313
24314
24315
24316
24317
24318
24319
24320
24321
24322
24323
24324
24325
24326
24327
24328
24329
24330
24331
24332
24333
24334
24335
24336
24337
24338
24339   always @(posedge clk_i  )
24340     begin
24341	if (rst_i ==  1'b1)
24342	  begin
24343             instruction_d <= { 32{1'b0}};
24344
24345
24346             bus_error_d <=  1'b0;
24347
24348
24349	  end
24350	else
24351	  begin
24352             if (stall_d ==  1'b0)
24353               begin
24354		  instruction_d <= instruction_f;
24355
24356
24357		  bus_error_d <= bus_error_f;
24358
24359
24360               end
24361	  end
24362     end
24363
24364endmodule
24365
24366
24367
24368
24369
24370
24371
24372
24373
24374
24375
24376
24377
24378
24379
24380
24381
24382
24383
24384
24385
24386
24387
24388
24389
24390
24391
24392
24393
24394
24395
24396
24397
24398
24399
24400
24401
24402
24403
24404
24405
24406
24407
24408
24409
24410
24411
24412
24413
24414
24415
24416
24417
24418
24419
24420
24421
24422
24423
24424
24425
24426
24427
24428
24429
24430
24431
24432
24433
24434
24435
24436
24437
24438
24439
24440
24441
24442
24443
24444
24445
24446
24447
24448
24449
24450
24451
24452
24453
24454
24455
24456
24457
24458
24459
24460
24461
24462
24463
24464
24465
24466
24467
24468
24469
24470
24471
24472
24473
24474
24475
24476
24477
24478
24479
24480
24481
24482
24483
24484
24485
24486
24487
24488
24489
24490
24491
24492
24493
24494
24495
24496
24497
24498
24499
24500
24501
24502
24503
24504
24505
24506
24507
24508
24509
24510
24511
24512
24513
24514
24515
24516
24517
24518
24519
24520
24521
24522
24523
24524
24525
24526
24527
24528
24529
24530
24531
24532
24533
24534
24535
24536
24537
24538
24539
24540
24541
24542
24543
24544
24545
24546
24547
24548
24549
24550
24551
24552
24553
24554
24555
24556
24557
24558
24559
24560
24561
24562
24563
24564
24565
24566
24567
24568
24569
24570
24571
24572
24573
24574
24575
24576
24577
24578
24579
24580
24581
24582
24583
24584
24585
24586
24587
24588
24589
24590
24591
24592
24593
24594
24595
24596
24597
24598
24599
24600
24601
24602
24603
24604
24605
24606
24607
24608
24609
24610
24611
24612
24613
24614
24615
24616
24617
24618
24619
24620
24621
24622
24623
24624
24625
24626
24627
24628
24629
24630
24631
24632
24633
24634
24635
24636
24637
24638
24639
24640
24641
24642
24643
24644
24645
24646
24647
24648
24649
24650
24651
24652
24653
24654
24655
24656
24657
24658
24659
24660
24661
24662
24663
24664
24665
24666
24667
24668
24669
24670
24671
24672
24673
24674
24675
24676
24677
24678
24679
24680
24681
24682
24683
24684
24685
24686
24687
24688
24689
24690
24691
24692
24693
24694
24695
24696
24697
24698
24699
24700
24701
24702
24703
24704
24705
24706
24707
24708
24709
24710
24711
24712
24713
24714
24715
24716
24717
24718
24719
24720
24721
24722
24723
24724
24725
24726
24727
24728
24729
24730
24731
24732
24733
24734
24735
24736
24737
24738
24739
24740
24741
24742
24743
24744
24745
24746
24747
24748
24749
24750
24751
24752
24753
24754
24755
24756
24757
24758
24759
24760
24761
24762
24763
24764
24765
24766
24767
24768
24769
24770
24771
24772
24773
24774
24775module lm32_jtag_full_debug (
24776
24777    clk_i,
24778    rst_i,
24779    jtag_clk,
24780    jtag_update,
24781    jtag_reg_q,
24782    jtag_reg_addr_q,
24783
24784
24785    csr,
24786    csr_write_enable,
24787    csr_write_data,
24788    stall_x,
24789
24790
24791
24792
24793    jtag_read_data,
24794    jtag_access_complete,
24795
24796
24797
24798
24799    exception_q_w,
24800
24801
24802
24803
24804
24805    jtx_csr_read_data,
24806    jrx_csr_read_data,
24807
24808
24809
24810
24811    jtag_csr_write_enable,
24812    jtag_csr_write_data,
24813    jtag_csr,
24814    jtag_read_enable,
24815    jtag_write_enable,
24816    jtag_write_data,
24817    jtag_address,
24818
24819
24820
24821
24822    jtag_break,
24823    jtag_reset,
24824
24825
24826    jtag_reg_d,
24827    jtag_reg_addr_d
24828    );
24829
24830
24831
24832
24833
24834input clk_i;
24835input rst_i;
24836
24837input jtag_clk;
24838input jtag_update;
24839input [ 7:0] jtag_reg_q;
24840input [2:0] jtag_reg_addr_q;
24841
24842
24843
24844input [ (5-1):0] csr;
24845input csr_write_enable;
24846input [ (32-1):0] csr_write_data;
24847input stall_x;
24848
24849
24850
24851
24852input [ 7:0] jtag_read_data;
24853input jtag_access_complete;
24854
24855
24856
24857
24858input exception_q_w;
24859
24860
24861
24862
24863
24864
24865
24866
24867
24868output [ (32-1):0] jtx_csr_read_data;
24869wire   [ (32-1):0] jtx_csr_read_data;
24870output [ (32-1):0] jrx_csr_read_data;
24871wire   [ (32-1):0] jrx_csr_read_data;
24872
24873
24874
24875
24876output jtag_csr_write_enable;
24877reg    jtag_csr_write_enable;
24878output [ (32-1):0] jtag_csr_write_data;
24879wire   [ (32-1):0] jtag_csr_write_data;
24880output [ (5-1):0] jtag_csr;
24881wire   [ (5-1):0] jtag_csr;
24882output jtag_read_enable;
24883reg    jtag_read_enable;
24884output jtag_write_enable;
24885reg    jtag_write_enable;
24886output [ 7:0] jtag_write_data;
24887wire   [ 7:0] jtag_write_data;
24888output [ (32-1):0] jtag_address;
24889wire   [ (32-1):0] jtag_address;
24890
24891
24892
24893
24894output jtag_break;
24895reg    jtag_break;
24896output jtag_reset;
24897reg    jtag_reset;
24898
24899
24900output [ 7:0] jtag_reg_d;
24901reg    [ 7:0] jtag_reg_d;
24902output [2:0] jtag_reg_addr_d;
24903wire   [2:0] jtag_reg_addr_d;
24904
24905
24906
24907
24908
24909reg rx_update;
24910reg rx_update_r;
24911reg rx_update_r_r;
24912reg rx_update_r_r_r;
24913
24914
24915
24916wire [ 7:0] rx_byte;
24917wire [2:0] rx_addr;
24918
24919
24920
24921reg [ 7:0] uart_tx_byte;
24922reg uart_tx_valid;
24923reg [ 7:0] uart_rx_byte;
24924reg uart_rx_valid;
24925
24926
24927
24928reg [ 3:0] command;
24929
24930
24931reg [ 7:0] jtag_byte_0;
24932reg [ 7:0] jtag_byte_1;
24933reg [ 7:0] jtag_byte_2;
24934reg [ 7:0] jtag_byte_3;
24935reg [ 7:0] jtag_byte_4;
24936reg processing;
24937
24938
24939
24940reg [ 3:0] state;
24941
24942
24943
24944
24945
24946
24947
24948assign jtag_csr_write_data = {jtag_byte_0, jtag_byte_1, jtag_byte_2, jtag_byte_3};
24949assign jtag_csr = jtag_byte_4[ (5-1):0];
24950assign jtag_address = {jtag_byte_0, jtag_byte_1, jtag_byte_2, jtag_byte_3};
24951assign jtag_write_data = jtag_byte_4;
24952
24953
24954
24955
24956
24957
24958assign jtag_reg_addr_d[1:0] = {uart_rx_valid, uart_tx_valid};
24959
24960
24961
24962
24963
24964
24965assign jtag_reg_addr_d[2] = processing;
24966
24967
24968
24969
24970
24971
24972
24973assign jtx_csr_read_data = {{ 32-9{1'b0}}, uart_tx_valid, 8'h00};
24974assign jrx_csr_read_data = {{ 32-9{1'b0}}, uart_rx_valid, uart_rx_byte};
24975
24976
24977
24978
24979
24980
24981
24982assign rx_byte = jtag_reg_q;
24983assign rx_addr = jtag_reg_addr_q;
24984
24985
24986
24987always @(posedge clk_i  )
24988begin
24989    if (rst_i ==  1'b1)
24990    begin
24991        rx_update <= 1'b0;
24992        rx_update_r <= 1'b0;
24993        rx_update_r_r <= 1'b0;
24994        rx_update_r_r_r <= 1'b0;
24995    end
24996    else
24997    begin
24998        rx_update <= jtag_update;
24999        rx_update_r <= rx_update;
25000        rx_update_r_r <= rx_update_r;
25001        rx_update_r_r_r <= rx_update_r_r;
25002    end
25003end
25004
25005
25006always @(posedge clk_i  )
25007begin
25008    if (rst_i ==  1'b1)
25009    begin
25010        state <=  4'h0;
25011        command <= 4'b0000;
25012        jtag_reg_d <= 8'h00;
25013
25014
25015        processing <=  1'b0;
25016        jtag_csr_write_enable <=  1'b0;
25017        jtag_read_enable <=  1'b0;
25018        jtag_write_enable <=  1'b0;
25019
25020
25021
25022
25023        jtag_break <=  1'b0;
25024        jtag_reset <=  1'b0;
25025
25026
25027
25028
25029        uart_tx_byte <= 8'h00;
25030        uart_tx_valid <=  1'b0;
25031        uart_rx_byte <= 8'h00;
25032        uart_rx_valid <=  1'b0;
25033
25034
25035    end
25036    else
25037    begin
25038
25039
25040        if ((csr_write_enable ==  1'b1) && (stall_x ==  1'b0))
25041        begin
25042            case (csr)
25043             5'he:
25044            begin
25045
25046                uart_tx_byte <= csr_write_data[ 7:0];
25047                uart_tx_valid <=  1'b1;
25048            end
25049             5'hf:
25050            begin
25051
25052                uart_rx_valid <=  1'b0;
25053            end
25054            endcase
25055        end
25056
25057
25058
25059
25060
25061        if (exception_q_w ==  1'b1)
25062        begin
25063            jtag_break <=  1'b0;
25064            jtag_reset <=  1'b0;
25065        end
25066
25067
25068        case (state)
25069         4'h0:
25070        begin
25071
25072            if ((~rx_update_r_r_r & rx_update_r_r) ==  1'b1)
25073            begin
25074                command <= rx_byte[7:4];
25075                case (rx_addr)
25076
25077
25078                 3'b000:
25079                begin
25080                    case (rx_byte[7:4])
25081
25082
25083                     4'b0001:
25084                        state <=  4'h1;
25085                     4'b0011:
25086                    begin
25087                        {jtag_byte_2, jtag_byte_3} <= {jtag_byte_2, jtag_byte_3} + 1'b1;
25088                        state <=  4'h6;
25089                    end
25090                     4'b0010:
25091                        state <=  4'h1;
25092                     4'b0100:
25093                    begin
25094                        {jtag_byte_2, jtag_byte_3} <= {jtag_byte_2, jtag_byte_3} + 1'b1;
25095                        state <= 5;
25096                    end
25097                     4'b0101:
25098                        state <=  4'h1;
25099
25100
25101                     4'b0110:
25102                    begin
25103
25104
25105                        uart_rx_valid <=  1'b0;
25106                        uart_tx_valid <=  1'b0;
25107
25108
25109                        jtag_break <=  1'b1;
25110                    end
25111                     4'b0111:
25112                    begin
25113
25114
25115                        uart_rx_valid <=  1'b0;
25116                        uart_tx_valid <=  1'b0;
25117
25118
25119                        jtag_reset <=  1'b1;
25120                    end
25121                    endcase
25122                end
25123
25124
25125
25126
25127                 3'b001:
25128                begin
25129                    uart_rx_byte <= rx_byte;
25130                    uart_rx_valid <=  1'b1;
25131                end
25132                 3'b010:
25133                begin
25134                    jtag_reg_d <= uart_tx_byte;
25135                    uart_tx_valid <=  1'b0;
25136                end
25137
25138
25139                default:
25140                    ;
25141                endcase
25142            end
25143        end
25144
25145
25146         4'h1:
25147        begin
25148            if ((~rx_update_r_r_r & rx_update_r_r) ==  1'b1)
25149            begin
25150                jtag_byte_0 <= rx_byte;
25151                state <=  4'h2;
25152            end
25153        end
25154         4'h2:
25155        begin
25156            if ((~rx_update_r_r_r & rx_update_r_r) ==  1'b1)
25157            begin
25158                jtag_byte_1 <= rx_byte;
25159                state <=  4'h3;
25160            end
25161        end
25162         4'h3:
25163        begin
25164            if ((~rx_update_r_r_r & rx_update_r_r) ==  1'b1)
25165            begin
25166                jtag_byte_2 <= rx_byte;
25167                state <=  4'h4;
25168            end
25169        end
25170         4'h4:
25171        begin
25172            if ((~rx_update_r_r_r & rx_update_r_r) ==  1'b1)
25173            begin
25174                jtag_byte_3 <= rx_byte;
25175                if (command ==  4'b0001)
25176                    state <=  4'h6;
25177                else
25178                    state <=  4'h5;
25179            end
25180        end
25181         4'h5:
25182        begin
25183            if ((~rx_update_r_r_r & rx_update_r_r) ==  1'b1)
25184            begin
25185                jtag_byte_4 <= rx_byte;
25186                state <=  4'h6;
25187            end
25188        end
25189         4'h6:
25190        begin
25191            case (command)
25192             4'b0001,
25193             4'b0011:
25194            begin
25195                jtag_read_enable <=  1'b1;
25196                processing <=  1'b1;
25197                state <=  4'h7;
25198            end
25199             4'b0010,
25200             4'b0100:
25201            begin
25202                jtag_write_enable <=  1'b1;
25203                processing <=  1'b1;
25204                state <=  4'h7;
25205            end
25206             4'b0101:
25207            begin
25208                jtag_csr_write_enable <=  1'b1;
25209                processing <=  1'b1;
25210                state <=  4'h8;
25211            end
25212            endcase
25213        end
25214         4'h7:
25215        begin
25216            if (jtag_access_complete ==  1'b1)
25217            begin
25218                jtag_read_enable <=  1'b0;
25219                jtag_reg_d <= jtag_read_data;
25220                jtag_write_enable <=  1'b0;
25221                processing <=  1'b0;
25222                state <=  4'h0;
25223            end
25224        end
25225         4'h8:
25226        begin
25227            jtag_csr_write_enable <=  1'b0;
25228            processing <=  1'b0;
25229            state <=  4'h0;
25230        end
25231
25232
25233        endcase
25234    end
25235end
25236
25237endmodule
25238
25239
25240
25241
25242
25243
25244
25245
25246
25247
25248
25249
25250
25251
25252
25253
25254
25255
25256
25257
25258
25259
25260
25261
25262
25263
25264
25265
25266
25267
25268
25269
25270
25271
25272
25273
25274
25275
25276
25277
25278
25279
25280
25281
25282
25283
25284
25285
25286
25287
25288
25289
25290
25291
25292
25293
25294
25295
25296
25297
25298
25299
25300
25301
25302
25303
25304
25305
25306
25307
25308
25309
25310
25311
25312
25313
25314
25315
25316
25317
25318
25319
25320
25321
25322
25323
25324
25325
25326
25327
25328
25329
25330
25331
25332
25333
25334
25335
25336
25337
25338
25339
25340
25341
25342
25343
25344
25345
25346
25347
25348
25349
25350
25351
25352
25353
25354
25355
25356
25357
25358
25359
25360
25361
25362
25363
25364
25365
25366
25367
25368
25369
25370
25371
25372
25373
25374
25375
25376
25377
25378
25379
25380
25381
25382
25383
25384
25385
25386
25387
25388
25389
25390
25391
25392
25393
25394
25395
25396
25397
25398
25399
25400
25401
25402
25403
25404
25405
25406
25407
25408
25409
25410
25411
25412
25413
25414
25415
25416
25417
25418
25419
25420
25421
25422
25423
25424
25425
25426
25427
25428
25429
25430
25431
25432
25433
25434
25435
25436
25437
25438
25439
25440
25441
25442
25443
25444
25445
25446
25447
25448
25449
25450
25451
25452
25453
25454
25455
25456
25457
25458
25459
25460
25461
25462
25463
25464
25465
25466
25467
25468
25469
25470
25471
25472
25473
25474
25475
25476
25477
25478
25479
25480
25481
25482
25483
25484
25485
25486
25487
25488
25489
25490
25491
25492
25493
25494
25495
25496
25497
25498
25499
25500
25501
25502
25503
25504
25505
25506
25507
25508
25509
25510
25511
25512
25513
25514
25515
25516
25517
25518
25519
25520
25521
25522
25523
25524
25525
25526
25527
25528
25529
25530
25531
25532
25533
25534
25535
25536
25537
25538
25539
25540
25541
25542
25543
25544
25545
25546
25547
25548
25549
25550
25551
25552
25553
25554
25555
25556
25557
25558
25559
25560
25561
25562
25563
25564
25565
25566
25567
25568
25569
25570
25571
25572
25573
25574
25575
25576
25577
25578
25579
25580
25581
25582
25583
25584
25585
25586
25587
25588
25589
25590
25591
25592
25593
25594
25595
25596
25597
25598
25599
25600
25601module lm32_interrupt_full_debug (
25602
25603    clk_i,
25604    rst_i,
25605
25606    interrupt,
25607
25608    stall_x,
25609
25610
25611    non_debug_exception,
25612    debug_exception,
25613
25614
25615
25616
25617    eret_q_x,
25618
25619
25620    bret_q_x,
25621
25622
25623    csr,
25624    csr_write_data,
25625    csr_write_enable,
25626
25627    interrupt_exception,
25628
25629    csr_read_data
25630    );
25631
25632
25633
25634
25635
25636parameter interrupts =  32;
25637
25638
25639
25640
25641
25642input clk_i;
25643input rst_i;
25644
25645input [interrupts-1:0] interrupt;
25646
25647input stall_x;
25648
25649
25650
25651input non_debug_exception;
25652input debug_exception;
25653
25654
25655
25656
25657input eret_q_x;
25658
25659
25660input bret_q_x;
25661
25662
25663
25664input [ (5-1):0] csr;
25665input [ (32-1):0] csr_write_data;
25666input csr_write_enable;
25667
25668
25669
25670
25671
25672output interrupt_exception;
25673wire   interrupt_exception;
25674
25675output [ (32-1):0] csr_read_data;
25676reg    [ (32-1):0] csr_read_data;
25677
25678
25679
25680
25681
25682wire [interrupts-1:0] asserted;
25683
25684wire [interrupts-1:0] interrupt_n_exception;
25685
25686
25687
25688reg ie;
25689reg eie;
25690
25691
25692reg bie;
25693
25694
25695reg [interrupts-1:0] ip;
25696reg [interrupts-1:0] im;
25697
25698
25699
25700
25701
25702
25703assign interrupt_n_exception = ip & im;
25704
25705
25706assign interrupt_exception = (|interrupt_n_exception) & ie;
25707
25708
25709assign asserted = ip | interrupt;
25710
25711generate
25712    if (interrupts > 1)
25713    begin
25714
25715always @(*)
25716begin
25717    case (csr)
25718     5'h0:  csr_read_data = {{ 32-3{1'b0}},
25719
25720
25721                                    bie,
25722
25723
25724
25725
25726                                    eie,
25727                                    ie
25728                                   };
25729     5'h2:  csr_read_data = ip;
25730     5'h1:  csr_read_data = im;
25731    default:       csr_read_data = { 32{1'bx}};
25732    endcase
25733end
25734    end
25735    else
25736    begin
25737
25738always @(*)
25739begin
25740    case (csr)
25741     5'h0:  csr_read_data = {{ 32-3{1'b0}},
25742
25743
25744                                    bie,
25745
25746
25747
25748
25749                                    eie,
25750                                    ie
25751                                   };
25752     5'h2:  csr_read_data = ip;
25753    default:       csr_read_data = { 32{1'bx}};
25754      endcase
25755end
25756    end
25757endgenerate
25758
25759
25760
25761
25762
25763
25764
25765   reg [ 10:0] eie_delay  = 0;
25766
25767
25768generate
25769
25770
25771    if (interrupts > 1)
25772    begin
25773
25774always @(posedge clk_i  )
25775  begin
25776    if (rst_i ==  1'b1)
25777    begin
25778        ie                   <=  1'b0;
25779        eie                  <=  1'b0;
25780
25781
25782        bie                  <=  1'b0;
25783
25784
25785        im                   <= {interrupts{1'b0}};
25786        ip                   <= {interrupts{1'b0}};
25787       eie_delay             <= 0;
25788
25789    end
25790    else
25791    begin
25792
25793        ip                   <= asserted;
25794
25795
25796        if (non_debug_exception ==  1'b1)
25797        begin
25798
25799            eie              <= ie;
25800            ie               <=  1'b0;
25801        end
25802        else if (debug_exception ==  1'b1)
25803        begin
25804
25805            bie              <= ie;
25806            ie               <=  1'b0;
25807        end
25808
25809
25810
25811
25812
25813
25814
25815
25816
25817        else if (stall_x ==  1'b0)
25818        begin
25819
25820           if(eie_delay[0])
25821             ie              <= eie;
25822
25823           eie_delay         <= {1'b0, eie_delay[ 10:1]};
25824
25825            if (eret_q_x ==  1'b1) begin
25826
25827               eie_delay[ 10] <=  1'b1;
25828               eie_delay[ 10-1:0] <= 0;
25829            end
25830
25831
25832
25833
25834
25835            else if (bret_q_x ==  1'b1)
25836
25837                ie      <= bie;
25838
25839
25840            else if (csr_write_enable ==  1'b1)
25841            begin
25842
25843                if (csr ==  5'h0)
25844                begin
25845                    ie  <= csr_write_data[0];
25846                    eie <= csr_write_data[1];
25847
25848
25849                    bie <= csr_write_data[2];
25850
25851
25852                end
25853                if (csr ==  5'h1)
25854                    im  <= csr_write_data[interrupts-1:0];
25855                if (csr ==  5'h2)
25856                    ip  <= asserted & ~csr_write_data[interrupts-1:0];
25857            end
25858        end
25859    end
25860end
25861    end
25862else
25863    begin
25864
25865always @(posedge clk_i  )
25866  begin
25867    if (rst_i ==  1'b1)
25868    begin
25869        ie              <=  1'b0;
25870        eie             <=  1'b0;
25871
25872
25873        bie             <=  1'b0;
25874
25875
25876        ip              <= {interrupts{1'b0}};
25877       eie_delay        <= 0;
25878    end
25879    else
25880    begin
25881
25882        ip              <= asserted;
25883
25884
25885        if (non_debug_exception ==  1'b1)
25886        begin
25887
25888            eie         <= ie;
25889            ie          <=  1'b0;
25890        end
25891        else if (debug_exception ==  1'b1)
25892        begin
25893
25894            bie         <= ie;
25895            ie          <=  1'b0;
25896        end
25897
25898
25899
25900
25901
25902
25903
25904
25905
25906        else if (stall_x ==  1'b0)
25907          begin
25908
25909             if(eie_delay[0])
25910               ie              <= eie;
25911
25912             eie_delay         <= {1'b0, eie_delay[ 10:1]};
25913
25914             if (eret_q_x ==  1'b1) begin
25915
25916                eie_delay[ 10] <=  1'b1;
25917                eie_delay[ 10-1:0] <= 0;
25918             end
25919
25920
25921
25922            else if (bret_q_x ==  1'b1)
25923
25924                ie      <= bie;
25925
25926
25927            else if (csr_write_enable ==  1'b1)
25928            begin
25929
25930                if (csr ==  5'h0)
25931                begin
25932                    ie  <= csr_write_data[0];
25933                    eie <= csr_write_data[1];
25934
25935
25936                    bie <= csr_write_data[2];
25937
25938
25939                end
25940                if (csr ==  5'h2)
25941                    ip  <= asserted & ~csr_write_data[interrupts-1:0];
25942            end
25943        end
25944    end
25945end
25946    end
25947endgenerate
25948
25949endmodule
25950
25951
25952
25953
25954
25955
25956
25957
25958
25959
25960
25961
25962
25963
25964
25965
25966
25967
25968
25969
25970
25971
25972
25973
25974
25975
25976
25977
25978
25979
25980
25981
25982
25983
25984
25985
25986
25987
25988
25989
25990
25991
25992
25993
25994
25995
25996
25997
25998
25999
26000
26001
26002
26003
26004
26005
26006
26007
26008
26009
26010
26011
26012
26013
26014
26015
26016
26017
26018
26019
26020
26021
26022
26023
26024
26025
26026
26027
26028
26029
26030
26031
26032
26033
26034
26035
26036
26037
26038
26039
26040
26041
26042
26043
26044
26045
26046
26047
26048
26049
26050
26051
26052
26053
26054
26055
26056
26057
26058
26059
26060
26061
26062
26063
26064
26065
26066
26067
26068
26069
26070
26071
26072
26073
26074
26075
26076
26077
26078
26079
26080
26081
26082
26083
26084
26085
26086
26087
26088
26089
26090
26091
26092
26093
26094
26095
26096
26097
26098
26099
26100
26101
26102
26103
26104
26105
26106
26107
26108
26109
26110
26111
26112
26113
26114
26115
26116
26117
26118
26119
26120
26121
26122
26123
26124
26125
26126
26127
26128
26129
26130
26131
26132
26133
26134
26135
26136
26137
26138
26139
26140
26141
26142
26143
26144
26145
26146
26147
26148
26149
26150
26151
26152
26153
26154
26155
26156
26157
26158
26159
26160
26161
26162
26163
26164
26165
26166
26167
26168
26169
26170
26171
26172
26173
26174
26175
26176
26177
26178
26179
26180
26181
26182
26183
26184
26185
26186
26187
26188
26189
26190
26191
26192
26193
26194
26195
26196
26197
26198
26199
26200
26201
26202
26203
26204
26205
26206
26207
26208
26209
26210
26211
26212
26213
26214
26215
26216
26217
26218
26219
26220
26221
26222
26223
26224
26225
26226
26227
26228
26229
26230
26231
26232
26233
26234
26235
26236
26237
26238
26239
26240
26241
26242
26243
26244
26245
26246
26247
26248
26249
26250
26251
26252
26253
26254
26255
26256
26257
26258
26259
26260
26261
26262
26263
26264
26265
26266
26267
26268
26269
26270
26271
26272
26273
26274
26275
26276
26277
26278
26279
26280
26281
26282
26283
26284
26285
26286
26287
26288
26289
26290
26291
26292
26293
26294
26295
26296
26297
26298
26299
26300
26301
26302
26303
26304
26305
26306
26307
26308
26309
26310
26311
26312
26313
26314
26315
26316
26317
26318
26319
26320
26321
26322
26323
26324
26325
26326
26327
26328
26329
26330
26331
26332
26333
26334
26335
26336
26337
26338
26339
26340
26341
26342
26343
26344
26345
26346
26347
26348
26349
26350
26351
26352
26353
26354
26355
26356
26357
26358
26359
26360
26361
26362
26363
26364
26365
26366
26367
26368
26369
26370
26371
26372
26373
26374
26375
26376
26377
26378
26379
26380
26381
26382
26383
26384
26385
26386
26387
26388
26389
26390
26391
26392
26393
26394
26395
26396
26397
26398
26399
26400
26401
26402
26403
26404
26405
26406
26407
26408
26409
26410
26411
26412
26413
26414
26415
26416
26417
26418
26419
26420
26421
26422
26423
26424
26425
26426
26427
26428
26429
26430
26431
26432
26433
26434
26435
26436
26437
26438
26439
26440
26441
26442
26443
26444
26445
26446
26447
26448
26449
26450
26451
26452
26453
26454
26455
26456
26457
26458
26459
26460
26461
26462
26463
26464
26465
26466
26467
26468
26469
26470
26471
26472
26473
26474
26475
26476
26477
26478
26479
26480
26481
26482
26483
26484
26485
26486
26487
26488
26489
26490
26491
26492
26493
26494
26495
26496
26497
26498
26499
26500
26501
26502
26503
26504
26505
26506
26507
26508
26509
26510
26511
26512
26513
26514
26515
26516
26517
26518
26519
26520module lm32_top_medium (
26521
26522    clk_i,
26523    rst_i,
26524
26525
26526    interrupt,
26527
26528
26529
26530
26531
26532
26533
26534
26535
26536
26537    I_DAT_I,
26538    I_ACK_I,
26539    I_ERR_I,
26540    I_RTY_I,
26541
26542
26543
26544    D_DAT_I,
26545    D_ACK_I,
26546    D_ERR_I,
26547    D_RTY_I,
26548
26549
26550
26551
26552
26553
26554
26555
26556
26557
26558
26559    I_DAT_O,
26560    I_ADR_O,
26561    I_CYC_O,
26562    I_SEL_O,
26563    I_STB_O,
26564    I_WE_O,
26565    I_CTI_O,
26566    I_LOCK_O,
26567    I_BTE_O,
26568
26569
26570
26571    D_DAT_O,
26572    D_ADR_O,
26573    D_CYC_O,
26574    D_SEL_O,
26575    D_STB_O,
26576    D_WE_O,
26577    D_CTI_O,
26578    D_LOCK_O,
26579    D_BTE_O
26580    );
26581
26582parameter eba_reset = 32'h00000000;
26583parameter sdb_address = 32'h00000000;
26584
26585
26586
26587
26588input clk_i;
26589input rst_i;
26590
26591
26592input [ (32-1):0] interrupt;
26593
26594
26595
26596
26597
26598
26599
26600
26601
26602
26603input [ (32-1):0] I_DAT_I;
26604input I_ACK_I;
26605input I_ERR_I;
26606input I_RTY_I;
26607
26608
26609
26610input [ (32-1):0] D_DAT_I;
26611input D_ACK_I;
26612input D_ERR_I;
26613input D_RTY_I;
26614
26615
26616
26617
26618
26619
26620
26621
26622
26623
26624
26625
26626
26627
26628
26629
26630
26631
26632
26633output [ (32-1):0] I_DAT_O;
26634wire   [ (32-1):0] I_DAT_O;
26635output [ (32-1):0] I_ADR_O;
26636wire   [ (32-1):0] I_ADR_O;
26637output I_CYC_O;
26638wire   I_CYC_O;
26639output [ (4-1):0] I_SEL_O;
26640wire   [ (4-1):0] I_SEL_O;
26641output I_STB_O;
26642wire   I_STB_O;
26643output I_WE_O;
26644wire   I_WE_O;
26645output [ (3-1):0] I_CTI_O;
26646wire   [ (3-1):0] I_CTI_O;
26647output I_LOCK_O;
26648wire   I_LOCK_O;
26649output [ (2-1):0] I_BTE_O;
26650wire   [ (2-1):0] I_BTE_O;
26651
26652
26653
26654output [ (32-1):0] D_DAT_O;
26655wire   [ (32-1):0] D_DAT_O;
26656output [ (32-1):0] D_ADR_O;
26657wire   [ (32-1):0] D_ADR_O;
26658output D_CYC_O;
26659wire   D_CYC_O;
26660output [ (4-1):0] D_SEL_O;
26661wire   [ (4-1):0] D_SEL_O;
26662output D_STB_O;
26663wire   D_STB_O;
26664output D_WE_O;
26665wire   D_WE_O;
26666output [ (3-1):0] D_CTI_O;
26667wire   [ (3-1):0] D_CTI_O;
26668output D_LOCK_O;
26669wire   D_LOCK_O;
26670output [ (2-1):0] D_BTE_O;
26671wire   [ (2-1):0] D_BTE_O;
26672
26673
26674
26675
26676
26677
26678
26679
26680
26681
26682
26683
26684
26685
26686
26687
26688
26689
26690
26691
26692
26693
26694
26695
26696
26697
26698
26699
26700
26701
26702
26703
26704
26705
26706
26707
26708
26709
26710
26711
26712
26713
26714
26715
26716
26717
26718
26719
26720
26721
26722
26723
26724
26725
26726
26727
26728
26729
26730
26731
26732
26733
26734
26735
26736
26737function integer clogb2;
26738input [31:0] value;
26739begin
26740   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
26741        value = value >> 1;
26742end
26743endfunction
26744
26745function integer clogb2_v1;
26746input [31:0] value;
26747reg   [31:0] i;
26748reg   [31:0] temp;
26749begin
26750   temp = 0;
26751   i    = 0;
26752   for (i = 0; temp < value; i = i + 1)
26753	temp = 1<<i;
26754   clogb2_v1 = i-1;
26755end
26756endfunction
26757
26758
26759
26760
26761
26762
26763
26764
26765lm32_cpu_medium
26766	#(
26767		.eba_reset(eba_reset),
26768    .sdb_address(sdb_address)
26769	) cpu (
26770
26771    .clk_i                 (clk_i),
26772
26773
26774
26775
26776    .rst_i                 (rst_i),
26777
26778
26779
26780    .interrupt             (interrupt),
26781
26782
26783
26784
26785
26786
26787
26788
26789
26790
26791
26792
26793
26794
26795
26796
26797
26798
26799
26800    .I_DAT_I               (I_DAT_I),
26801    .I_ACK_I               (I_ACK_I),
26802    .I_ERR_I               (I_ERR_I),
26803    .I_RTY_I               (I_RTY_I),
26804
26805
26806
26807    .D_DAT_I               (D_DAT_I),
26808    .D_ACK_I               (D_ACK_I),
26809    .D_ERR_I               (D_ERR_I),
26810    .D_RTY_I               (D_RTY_I),
26811
26812
26813
26814
26815
26816
26817
26818
26819
26820
26821
26822
26823
26824
26825
26826
26827
26828
26829
26830
26831
26832
26833
26834
26835
26836
26837
26838    .I_DAT_O               (I_DAT_O),
26839    .I_ADR_O               (I_ADR_O),
26840    .I_CYC_O               (I_CYC_O),
26841    .I_SEL_O               (I_SEL_O),
26842    .I_STB_O               (I_STB_O),
26843    .I_WE_O                (I_WE_O),
26844    .I_CTI_O               (I_CTI_O),
26845    .I_LOCK_O              (I_LOCK_O),
26846    .I_BTE_O               (I_BTE_O),
26847
26848
26849
26850    .D_DAT_O               (D_DAT_O),
26851    .D_ADR_O               (D_ADR_O),
26852    .D_CYC_O               (D_CYC_O),
26853    .D_SEL_O               (D_SEL_O),
26854    .D_STB_O               (D_STB_O),
26855    .D_WE_O                (D_WE_O),
26856    .D_CTI_O               (D_CTI_O),
26857    .D_LOCK_O              (D_LOCK_O),
26858    .D_BTE_O               (D_BTE_O)
26859    );
26860
26861
26862
26863
26864
26865
26866
26867
26868
26869
26870
26871
26872
26873
26874
26875
26876
26877endmodule
26878
26879
26880
26881
26882
26883
26884
26885
26886
26887
26888
26889
26890
26891
26892
26893
26894
26895
26896
26897
26898
26899
26900
26901
26902
26903
26904
26905
26906
26907
26908
26909
26910
26911
26912
26913
26914
26915
26916
26917
26918
26919
26920
26921
26922
26923
26924
26925
26926
26927
26928
26929
26930
26931
26932
26933
26934
26935
26936
26937
26938
26939
26940
26941
26942
26943
26944
26945
26946
26947
26948
26949
26950
26951
26952
26953
26954
26955
26956
26957
26958
26959
26960
26961
26962
26963
26964
26965
26966
26967
26968
26969
26970
26971
26972
26973
26974
26975
26976
26977
26978
26979
26980
26981
26982
26983
26984
26985
26986
26987
26988
26989
26990
26991
26992
26993
26994
26995
26996
26997
26998
26999
27000
27001
27002
27003
27004
27005
27006
27007
27008
27009
27010
27011
27012
27013
27014
27015
27016
27017
27018
27019
27020
27021
27022
27023
27024
27025
27026
27027
27028
27029
27030
27031
27032
27033
27034
27035
27036
27037
27038
27039
27040
27041
27042
27043
27044
27045
27046
27047
27048
27049
27050
27051
27052
27053
27054
27055
27056
27057
27058
27059
27060
27061
27062
27063
27064
27065
27066
27067
27068
27069
27070
27071
27072
27073
27074
27075
27076
27077
27078
27079
27080
27081
27082
27083
27084
27085
27086
27087
27088
27089
27090
27091
27092
27093
27094
27095
27096
27097
27098
27099
27100
27101
27102
27103
27104
27105
27106
27107
27108
27109
27110
27111
27112
27113
27114
27115
27116
27117
27118
27119
27120
27121
27122
27123
27124
27125
27126
27127
27128
27129
27130
27131
27132
27133
27134
27135
27136
27137
27138
27139
27140
27141
27142
27143
27144
27145
27146
27147
27148
27149
27150
27151
27152
27153
27154
27155
27156
27157
27158
27159
27160
27161
27162
27163
27164
27165
27166
27167
27168
27169
27170
27171
27172
27173
27174
27175
27176
27177
27178
27179
27180
27181
27182
27183
27184
27185
27186
27187
27188
27189
27190
27191
27192
27193
27194
27195
27196
27197
27198
27199
27200
27201
27202
27203
27204
27205
27206
27207
27208
27209
27210
27211
27212
27213
27214
27215
27216
27217
27218
27219
27220
27221
27222
27223
27224
27225
27226
27227
27228
27229
27230
27231
27232
27233
27234
27235
27236
27237
27238
27239
27240
27241
27242
27243
27244
27245
27246
27247
27248
27249
27250
27251
27252
27253module lm32_mc_arithmetic_medium (
27254
27255    clk_i,
27256    rst_i,
27257    stall_d,
27258    kill_x,
27259
27260
27261
27262
27263
27264
27265
27266
27267
27268
27269
27270
27271
27272
27273
27274    operand_0_d,
27275    operand_1_d,
27276
27277    result_x,
27278
27279
27280
27281
27282    stall_request_x
27283    );
27284
27285
27286
27287
27288
27289input clk_i;
27290input rst_i;
27291input stall_d;
27292input kill_x;
27293
27294
27295
27296
27297
27298
27299
27300
27301
27302
27303
27304
27305
27306
27307
27308input [ (32-1):0] operand_0_d;
27309input [ (32-1):0] operand_1_d;
27310
27311
27312
27313
27314
27315output [ (32-1):0] result_x;
27316reg    [ (32-1):0] result_x;
27317
27318
27319
27320
27321
27322output stall_request_x;
27323wire   stall_request_x;
27324
27325
27326
27327
27328
27329reg [ (32-1):0] p;
27330reg [ (32-1):0] a;
27331reg [ (32-1):0] b;
27332
27333
27334
27335
27336
27337reg [ 2:0] state;
27338reg [5:0] cycles;
27339
27340
27341
27342
27343
27344
27345
27346
27347
27348
27349
27350
27351assign stall_request_x = state !=  3'b000;
27352
27353
27354
27355
27356
27357
27358
27359
27360
27361
27362
27363
27364
27365
27366
27367
27368
27369
27370always @(posedge clk_i  )
27371begin
27372    if (rst_i ==  1'b1)
27373    begin
27374        cycles <= {6{1'b0}};
27375        p <= { 32{1'b0}};
27376        a <= { 32{1'b0}};
27377        b <= { 32{1'b0}};
27378
27379
27380
27381
27382
27383
27384
27385
27386        result_x <= { 32{1'b0}};
27387        state <=  3'b000;
27388    end
27389    else
27390    begin
27391
27392
27393
27394
27395        case (state)
27396         3'b000:
27397        begin
27398            if (stall_d ==  1'b0)
27399            begin
27400                cycles <=  32;
27401                p <= 32'b0;
27402                a <= operand_0_d;
27403                b <= operand_1_d;
27404
27405
27406
27407
27408
27409
27410
27411
27412
27413
27414
27415
27416
27417
27418
27419
27420
27421
27422
27423
27424
27425
27426
27427
27428
27429
27430
27431
27432
27433
27434
27435            end
27436        end
27437
27438
27439
27440
27441
27442
27443
27444
27445
27446
27447
27448
27449
27450
27451
27452
27453
27454
27455
27456
27457
27458
27459
27460
27461
27462
27463
27464
27465
27466
27467
27468
27469
27470
27471
27472
27473
27474
27475
27476
27477
27478
27479
27480
27481
27482
27483
27484
27485
27486
27487
27488
27489
27490
27491
27492
27493
27494
27495
27496
27497
27498
27499
27500
27501
27502
27503
27504
27505
27506
27507
27508
27509
27510
27511
27512
27513
27514
27515        endcase
27516    end
27517end
27518
27519endmodule
27520
27521
27522
27523
27524
27525
27526
27527
27528
27529
27530
27531
27532
27533
27534
27535
27536
27537
27538
27539
27540
27541
27542
27543
27544
27545
27546
27547
27548
27549
27550
27551
27552
27553
27554
27555
27556
27557
27558
27559
27560
27561
27562
27563
27564
27565
27566
27567
27568
27569
27570
27571
27572
27573
27574
27575
27576
27577
27578
27579
27580
27581
27582
27583
27584
27585
27586
27587
27588
27589
27590
27591
27592
27593
27594
27595
27596
27597
27598
27599
27600
27601
27602
27603
27604
27605
27606
27607
27608
27609
27610
27611
27612
27613
27614
27615
27616
27617
27618
27619
27620
27621
27622
27623
27624
27625
27626
27627
27628
27629
27630
27631
27632
27633
27634
27635
27636
27637
27638
27639
27640
27641
27642
27643
27644
27645
27646
27647
27648
27649
27650
27651
27652
27653
27654
27655
27656
27657
27658
27659
27660
27661
27662
27663
27664
27665
27666
27667
27668
27669
27670
27671
27672
27673
27674
27675
27676
27677
27678
27679
27680
27681
27682
27683
27684
27685
27686
27687
27688
27689
27690
27691
27692
27693
27694
27695
27696
27697
27698
27699
27700
27701
27702
27703
27704
27705
27706
27707
27708
27709
27710
27711
27712
27713
27714
27715
27716
27717
27718
27719
27720
27721
27722
27723
27724
27725
27726
27727
27728
27729
27730
27731
27732
27733
27734
27735
27736
27737
27738
27739
27740
27741
27742
27743
27744
27745
27746
27747
27748
27749
27750
27751
27752
27753
27754
27755
27756
27757
27758
27759
27760
27761
27762
27763
27764
27765
27766
27767
27768
27769
27770
27771
27772
27773
27774
27775
27776
27777
27778
27779
27780
27781
27782
27783
27784
27785
27786
27787
27788
27789
27790
27791
27792
27793
27794
27795
27796
27797
27798
27799
27800
27801
27802
27803
27804
27805
27806
27807
27808
27809
27810
27811
27812
27813
27814
27815
27816
27817
27818
27819
27820
27821
27822
27823
27824
27825
27826
27827
27828
27829
27830
27831
27832
27833
27834
27835
27836
27837
27838
27839
27840
27841
27842
27843
27844
27845
27846
27847
27848
27849
27850
27851
27852
27853
27854
27855
27856
27857
27858
27859
27860
27861
27862
27863
27864
27865
27866
27867
27868
27869
27870
27871
27872
27873
27874
27875
27876
27877
27878
27879
27880
27881
27882
27883
27884
27885
27886
27887
27888
27889
27890
27891
27892
27893
27894
27895
27896
27897
27898
27899
27900
27901
27902
27903
27904
27905
27906
27907
27908
27909
27910
27911
27912
27913
27914
27915
27916module lm32_cpu_medium (
27917
27918    clk_i,
27919
27920
27921
27922
27923    rst_i,
27924
27925
27926
27927
27928
27929
27930
27931
27932
27933
27934
27935
27936
27937
27938
27939
27940
27941    interrupt,
27942
27943
27944
27945
27946
27947
27948
27949
27950
27951
27952
27953
27954
27955
27956
27957
27958
27959
27960
27961    I_DAT_I,
27962    I_ACK_I,
27963    I_ERR_I,
27964    I_RTY_I,
27965
27966
27967
27968    D_DAT_I,
27969    D_ACK_I,
27970    D_ERR_I,
27971    D_RTY_I,
27972
27973
27974
27975
27976
27977
27978
27979
27980
27981
27982
27983
27984
27985
27986
27987
27988
27989
27990
27991
27992
27993
27994
27995
27996
27997
27998
27999    I_DAT_O,
28000    I_ADR_O,
28001    I_CYC_O,
28002    I_SEL_O,
28003    I_STB_O,
28004    I_WE_O,
28005    I_CTI_O,
28006    I_LOCK_O,
28007    I_BTE_O,
28008
28009
28010
28011
28012
28013
28014
28015
28016
28017
28018
28019
28020
28021
28022
28023
28024
28025    D_DAT_O,
28026    D_ADR_O,
28027    D_CYC_O,
28028    D_SEL_O,
28029    D_STB_O,
28030    D_WE_O,
28031    D_CTI_O,
28032    D_LOCK_O,
28033    D_BTE_O
28034
28035
28036    );
28037
28038
28039
28040
28041
28042parameter eba_reset =  32'h00000000;
28043
28044
28045
28046
28047parameter sdb_address =   32'h00000000;
28048
28049
28050
28051
28052
28053
28054
28055
28056
28057parameter icache_associativity = 1;
28058parameter icache_sets = 512;
28059parameter icache_bytes_per_line = 16;
28060parameter icache_base_address = 0;
28061parameter icache_limit = 0;
28062
28063
28064
28065
28066
28067
28068
28069
28070
28071
28072
28073parameter dcache_associativity = 1;
28074parameter dcache_sets = 512;
28075parameter dcache_bytes_per_line = 16;
28076parameter dcache_base_address = 0;
28077parameter dcache_limit = 0;
28078
28079
28080
28081
28082
28083
28084
28085parameter watchpoints = 0;
28086
28087
28088
28089
28090
28091
28092parameter breakpoints = 0;
28093
28094
28095
28096
28097
28098parameter interrupts =  32;
28099
28100
28101
28102
28103
28104
28105
28106
28107
28108input clk_i;
28109
28110
28111
28112
28113input rst_i;
28114
28115
28116
28117input [ (32-1):0] interrupt;
28118
28119
28120
28121
28122
28123
28124
28125
28126
28127
28128
28129
28130
28131
28132
28133
28134
28135
28136
28137input [ (32-1):0] I_DAT_I;
28138input I_ACK_I;
28139input I_ERR_I;
28140input I_RTY_I;
28141
28142
28143
28144input [ (32-1):0] D_DAT_I;
28145input D_ACK_I;
28146input D_ERR_I;
28147input D_RTY_I;
28148
28149
28150
28151
28152
28153
28154
28155
28156
28157
28158
28159
28160
28161
28162
28163
28164
28165
28166
28167
28168
28169
28170
28171
28172
28173
28174
28175
28176
28177
28178
28179
28180
28181
28182
28183
28184
28185
28186
28187
28188
28189
28190
28191
28192
28193
28194
28195
28196
28197
28198
28199
28200output [ (32-1):0] I_DAT_O;
28201wire   [ (32-1):0] I_DAT_O;
28202output [ (32-1):0] I_ADR_O;
28203wire   [ (32-1):0] I_ADR_O;
28204output I_CYC_O;
28205wire   I_CYC_O;
28206output [ (4-1):0] I_SEL_O;
28207wire   [ (4-1):0] I_SEL_O;
28208output I_STB_O;
28209wire   I_STB_O;
28210output I_WE_O;
28211wire   I_WE_O;
28212output [ (3-1):0] I_CTI_O;
28213wire   [ (3-1):0] I_CTI_O;
28214output I_LOCK_O;
28215wire   I_LOCK_O;
28216output [ (2-1):0] I_BTE_O;
28217wire   [ (2-1):0] I_BTE_O;
28218
28219
28220
28221output [ (32-1):0] D_DAT_O;
28222wire   [ (32-1):0] D_DAT_O;
28223output [ (32-1):0] D_ADR_O;
28224wire   [ (32-1):0] D_ADR_O;
28225output D_CYC_O;
28226wire   D_CYC_O;
28227output [ (4-1):0] D_SEL_O;
28228wire   [ (4-1):0] D_SEL_O;
28229output D_STB_O;
28230wire   D_STB_O;
28231output D_WE_O;
28232wire   D_WE_O;
28233output [ (3-1):0] D_CTI_O;
28234wire   [ (3-1):0] D_CTI_O;
28235output D_LOCK_O;
28236wire   D_LOCK_O;
28237output [ (2-1):0] D_BTE_O;
28238wire   [ (2-1):0] D_BTE_O;
28239
28240
28241
28242
28243
28244
28245
28246
28247
28248
28249
28250
28251
28252
28253
28254
28255
28256
28257
28258
28259reg valid_f;
28260reg valid_d;
28261reg valid_x;
28262reg valid_m;
28263reg valid_w;
28264
28265wire q_x;
28266wire [ (32-1):0] immediate_d;
28267wire load_d;
28268reg load_x;
28269reg load_m;
28270wire load_q_x;
28271wire store_q_x;
28272wire q_m;
28273wire load_q_m;
28274wire store_q_m;
28275wire store_d;
28276reg store_x;
28277reg store_m;
28278wire [ 1:0] size_d;
28279reg [ 1:0] size_x;
28280wire branch_d;
28281wire branch_predict_d;
28282wire branch_predict_taken_d;
28283wire [ ((32-2)+2-1):2] branch_predict_address_d;
28284wire [ ((32-2)+2-1):2] branch_target_d;
28285wire bi_unconditional;
28286wire bi_conditional;
28287reg branch_x;
28288reg branch_predict_x;
28289reg branch_predict_taken_x;
28290reg branch_m;
28291reg branch_predict_m;
28292reg branch_predict_taken_m;
28293wire branch_mispredict_taken_m;
28294wire branch_flushX_m;
28295wire branch_reg_d;
28296wire [ ((32-2)+2-1):2] branch_offset_d;
28297reg [ ((32-2)+2-1):2] branch_target_x;
28298reg [ ((32-2)+2-1):2] branch_target_m;
28299wire [ 0:0] d_result_sel_0_d;
28300wire [ 1:0] d_result_sel_1_d;
28301
28302wire x_result_sel_csr_d;
28303reg x_result_sel_csr_x;
28304
28305
28306
28307
28308
28309
28310
28311
28312
28313
28314
28315
28316
28317wire x_result_sel_sext_d;
28318reg x_result_sel_sext_x;
28319
28320
28321wire x_result_sel_logic_d;
28322
28323
28324
28325
28326
28327wire x_result_sel_add_d;
28328reg x_result_sel_add_x;
28329wire m_result_sel_compare_d;
28330reg m_result_sel_compare_x;
28331reg m_result_sel_compare_m;
28332
28333
28334wire m_result_sel_shift_d;
28335reg m_result_sel_shift_x;
28336reg m_result_sel_shift_m;
28337
28338
28339wire w_result_sel_load_d;
28340reg w_result_sel_load_x;
28341reg w_result_sel_load_m;
28342reg w_result_sel_load_w;
28343
28344
28345wire w_result_sel_mul_d;
28346reg w_result_sel_mul_x;
28347reg w_result_sel_mul_m;
28348reg w_result_sel_mul_w;
28349
28350
28351wire x_bypass_enable_d;
28352reg x_bypass_enable_x;
28353wire m_bypass_enable_d;
28354reg m_bypass_enable_x;
28355reg m_bypass_enable_m;
28356wire sign_extend_d;
28357reg sign_extend_x;
28358wire write_enable_d;
28359reg write_enable_x;
28360wire write_enable_q_x;
28361reg write_enable_m;
28362wire write_enable_q_m;
28363reg write_enable_w;
28364wire write_enable_q_w;
28365wire read_enable_0_d;
28366wire [ (5-1):0] read_idx_0_d;
28367wire read_enable_1_d;
28368wire [ (5-1):0] read_idx_1_d;
28369wire [ (5-1):0] write_idx_d;
28370reg [ (5-1):0] write_idx_x;
28371reg [ (5-1):0] write_idx_m;
28372reg [ (5-1):0] write_idx_w;
28373wire [ (4 -1):0] csr_d;
28374reg  [ (4 -1):0] csr_x;
28375wire [ (3-1):0] condition_d;
28376reg [ (3-1):0] condition_x;
28377
28378
28379
28380
28381
28382wire scall_d;
28383reg scall_x;
28384wire eret_d;
28385reg eret_x;
28386wire eret_q_x;
28387
28388
28389
28390
28391
28392
28393
28394
28395
28396
28397
28398
28399
28400
28401
28402wire csr_write_enable_d;
28403reg csr_write_enable_x;
28404wire csr_write_enable_q_x;
28405
28406
28407
28408
28409
28410
28411
28412
28413
28414
28415
28416
28417
28418reg [ (32-1):0] d_result_0;
28419reg [ (32-1):0] d_result_1;
28420reg [ (32-1):0] x_result;
28421reg [ (32-1):0] m_result;
28422reg [ (32-1):0] w_result;
28423
28424reg [ (32-1):0] operand_0_x;
28425reg [ (32-1):0] operand_1_x;
28426reg [ (32-1):0] store_operand_x;
28427reg [ (32-1):0] operand_m;
28428reg [ (32-1):0] operand_w;
28429
28430
28431
28432
28433reg [ (32-1):0] reg_data_live_0;
28434reg [ (32-1):0] reg_data_live_1;
28435reg use_buf;
28436reg [ (32-1):0] reg_data_buf_0;
28437reg [ (32-1):0] reg_data_buf_1;
28438
28439
28440
28441
28442
28443
28444
28445
28446wire [ (32-1):0] reg_data_0;
28447wire [ (32-1):0] reg_data_1;
28448reg [ (32-1):0] bypass_data_0;
28449reg [ (32-1):0] bypass_data_1;
28450wire reg_write_enable_q_w;
28451
28452reg interlock;
28453
28454wire stall_a;
28455wire stall_f;
28456wire stall_d;
28457wire stall_x;
28458wire stall_m;
28459
28460
28461wire adder_op_d;
28462reg adder_op_x;
28463reg adder_op_x_n;
28464wire [ (32-1):0] adder_result_x;
28465wire adder_overflow_x;
28466wire adder_carry_n_x;
28467
28468
28469wire [ 3:0] logic_op_d;
28470reg [ 3:0] logic_op_x;
28471wire [ (32-1):0] logic_result_x;
28472
28473
28474
28475
28476wire [ (32-1):0] sextb_result_x;
28477wire [ (32-1):0] sexth_result_x;
28478wire [ (32-1):0] sext_result_x;
28479
28480
28481
28482
28483
28484
28485
28486
28487
28488
28489
28490wire direction_d;
28491reg direction_x;
28492wire [ (32-1):0] shifter_result_m;
28493
28494
28495
28496
28497
28498
28499
28500
28501
28502
28503
28504
28505
28506
28507
28508
28509
28510wire [ (32-1):0] multiplier_result_w;
28511
28512
28513
28514
28515
28516
28517
28518
28519
28520
28521
28522
28523
28524
28525
28526
28527
28528
28529
28530
28531
28532
28533
28534
28535
28536
28537
28538
28539wire [ (32-1):0] interrupt_csr_read_data_x;
28540
28541
28542wire [ (32-1):0] cfg;
28543wire [ (32-1):0] cfg2;
28544
28545
28546
28547
28548reg [ (32-1):0] csr_read_data_x;
28549
28550
28551wire [ ((32-2)+2-1):2] pc_f;
28552wire [ ((32-2)+2-1):2] pc_d;
28553wire [ ((32-2)+2-1):2] pc_x;
28554wire [ ((32-2)+2-1):2] pc_m;
28555wire [ ((32-2)+2-1):2] pc_w;
28556
28557
28558
28559
28560
28561
28562wire [ (32-1):0] instruction_f;
28563
28564
28565
28566
28567wire [ (32-1):0] instruction_d;
28568
28569
28570
28571
28572
28573
28574
28575
28576
28577
28578
28579
28580
28581
28582
28583
28584
28585
28586
28587wire [ (32-1):0] load_data_w;
28588wire stall_wb_load;
28589
28590
28591
28592
28593
28594
28595
28596
28597
28598
28599
28600
28601
28602
28603
28604
28605
28606
28607
28608
28609
28610
28611
28612
28613
28614wire raw_x_0;
28615wire raw_x_1;
28616wire raw_m_0;
28617wire raw_m_1;
28618wire raw_w_0;
28619wire raw_w_1;
28620
28621
28622wire cmp_zero;
28623wire cmp_negative;
28624wire cmp_overflow;
28625wire cmp_carry_n;
28626reg condition_met_x;
28627reg condition_met_m;
28628
28629
28630
28631
28632wire branch_taken_m;
28633
28634wire kill_f;
28635wire kill_d;
28636wire kill_x;
28637wire kill_m;
28638wire kill_w;
28639
28640reg [ (32-2)+2-1:8] eba;
28641
28642
28643
28644
28645reg [ (3-1):0] eid_x;
28646
28647
28648
28649
28650
28651
28652
28653
28654
28655
28656
28657
28658
28659
28660
28661
28662
28663
28664
28665
28666
28667
28668
28669
28670
28671wire exception_x;
28672reg exception_m;
28673reg exception_w;
28674wire exception_q_w;
28675
28676
28677
28678
28679
28680
28681
28682
28683
28684
28685
28686
28687
28688
28689wire interrupt_exception;
28690
28691
28692
28693
28694
28695
28696
28697
28698
28699
28700
28701
28702
28703
28704
28705
28706
28707
28708wire system_call_exception;
28709
28710
28711
28712
28713
28714
28715
28716
28717
28718
28719
28720
28721
28722
28723
28724
28725
28726
28727
28728
28729
28730
28731
28732
28733
28734
28735
28736
28737
28738
28739
28740
28741
28742
28743
28744
28745
28746
28747
28748
28749
28750
28751
28752
28753
28754
28755
28756
28757
28758
28759
28760
28761
28762
28763
28764
28765
28766
28767
28768
28769
28770
28771
28772
28773function integer clogb2;
28774input [31:0] value;
28775begin
28776   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
28777        value = value >> 1;
28778end
28779endfunction
28780
28781function integer clogb2_v1;
28782input [31:0] value;
28783reg   [31:0] i;
28784reg   [31:0] temp;
28785begin
28786   temp = 0;
28787   i    = 0;
28788   for (i = 0; temp < value; i = i + 1)
28789	temp = 1<<i;
28790   clogb2_v1 = i-1;
28791end
28792endfunction
28793
28794
28795
28796
28797
28798
28799
28800
28801
28802lm32_instruction_unit_medium #(
28803    .eba_reset              (eba_reset),
28804    .associativity          (icache_associativity),
28805    .sets                   (icache_sets),
28806    .bytes_per_line         (icache_bytes_per_line),
28807    .base_address           (icache_base_address),
28808    .limit                  (icache_limit)
28809  ) instruction_unit (
28810
28811    .clk_i                  (clk_i),
28812    .rst_i                  (rst_i),
28813
28814    .stall_a                (stall_a),
28815    .stall_f                (stall_f),
28816    .stall_d                (stall_d),
28817    .stall_x                (stall_x),
28818    .stall_m                (stall_m),
28819    .valid_f                (valid_f),
28820    .valid_d                (valid_d),
28821    .kill_f                 (kill_f),
28822    .branch_predict_taken_d (branch_predict_taken_d),
28823    .branch_predict_address_d (branch_predict_address_d),
28824
28825
28826
28827
28828
28829    .exception_m            (exception_m),
28830    .branch_taken_m         (branch_taken_m),
28831    .branch_mispredict_taken_m (branch_mispredict_taken_m),
28832    .branch_target_m        (branch_target_m),
28833
28834
28835
28836
28837
28838
28839
28840
28841
28842
28843
28844
28845
28846    .i_dat_i                (I_DAT_I),
28847    .i_ack_i                (I_ACK_I),
28848    .i_err_i                (I_ERR_I),
28849    .i_rty_i                (I_RTY_I),
28850
28851
28852
28853
28854
28855
28856
28857
28858
28859
28860
28861    .pc_f                   (pc_f),
28862    .pc_d                   (pc_d),
28863    .pc_x                   (pc_x),
28864    .pc_m                   (pc_m),
28865    .pc_w                   (pc_w),
28866
28867
28868
28869
28870
28871
28872
28873
28874
28875
28876    .i_dat_o                (I_DAT_O),
28877    .i_adr_o                (I_ADR_O),
28878    .i_cyc_o                (I_CYC_O),
28879    .i_sel_o                (I_SEL_O),
28880    .i_stb_o                (I_STB_O),
28881    .i_we_o                 (I_WE_O),
28882    .i_cti_o                (I_CTI_O),
28883    .i_lock_o               (I_LOCK_O),
28884    .i_bte_o                (I_BTE_O),
28885
28886
28887
28888
28889
28890
28891
28892
28893
28894
28895
28896
28897
28898
28899
28900
28901
28902
28903
28904
28905
28906    .instruction_f          (instruction_f),
28907
28908
28909
28910
28911    .instruction_d          (instruction_d)
28912
28913
28914
28915    );
28916
28917
28918lm32_decoder_medium decoder (
28919
28920    .instruction            (instruction_d),
28921
28922    .d_result_sel_0         (d_result_sel_0_d),
28923    .d_result_sel_1         (d_result_sel_1_d),
28924    .x_result_sel_csr       (x_result_sel_csr_d),
28925
28926
28927
28928
28929
28930
28931
28932
28933
28934
28935    .x_result_sel_sext      (x_result_sel_sext_d),
28936
28937
28938    .x_result_sel_logic     (x_result_sel_logic_d),
28939
28940
28941
28942
28943    .x_result_sel_add       (x_result_sel_add_d),
28944    .m_result_sel_compare   (m_result_sel_compare_d),
28945
28946
28947    .m_result_sel_shift     (m_result_sel_shift_d),
28948
28949
28950    .w_result_sel_load      (w_result_sel_load_d),
28951
28952
28953    .w_result_sel_mul       (w_result_sel_mul_d),
28954
28955
28956    .x_bypass_enable        (x_bypass_enable_d),
28957    .m_bypass_enable        (m_bypass_enable_d),
28958    .read_enable_0          (read_enable_0_d),
28959    .read_idx_0             (read_idx_0_d),
28960    .read_enable_1          (read_enable_1_d),
28961    .read_idx_1             (read_idx_1_d),
28962    .write_enable           (write_enable_d),
28963    .write_idx              (write_idx_d),
28964    .immediate              (immediate_d),
28965    .branch_offset          (branch_offset_d),
28966    .load                   (load_d),
28967    .store                  (store_d),
28968    .size                   (size_d),
28969    .sign_extend            (sign_extend_d),
28970    .adder_op               (adder_op_d),
28971    .logic_op               (logic_op_d),
28972
28973
28974    .direction              (direction_d),
28975
28976
28977
28978
28979
28980
28981
28982
28983
28984
28985
28986
28987
28988
28989
28990
28991    .branch                 (branch_d),
28992    .bi_unconditional       (bi_unconditional),
28993    .bi_conditional         (bi_conditional),
28994    .branch_reg             (branch_reg_d),
28995    .condition              (condition_d),
28996
28997
28998
28999
29000    .scall                  (scall_d),
29001    .eret                   (eret_d),
29002
29003
29004
29005
29006
29007
29008
29009
29010    .csr_write_enable       (csr_write_enable_d)
29011    );
29012
29013
29014lm32_load_store_unit_medium #(
29015    .associativity          (dcache_associativity),
29016    .sets                   (dcache_sets),
29017    .bytes_per_line         (dcache_bytes_per_line),
29018    .base_address           (dcache_base_address),
29019    .limit                  (dcache_limit)
29020  ) load_store_unit (
29021
29022    .clk_i                  (clk_i),
29023    .rst_i                  (rst_i),
29024
29025    .stall_a                (stall_a),
29026    .stall_x                (stall_x),
29027    .stall_m                (stall_m),
29028    .kill_x                 (kill_x),
29029    .kill_m                 (kill_m),
29030    .exception_m            (exception_m),
29031    .store_operand_x        (store_operand_x),
29032    .load_store_address_x   (adder_result_x),
29033    .load_store_address_m   (operand_m),
29034    .load_store_address_w   (operand_w[1:0]),
29035    .load_x                 (load_x),
29036    .store_x                (store_x),
29037    .load_q_x               (load_q_x),
29038    .store_q_x              (store_q_x),
29039    .load_q_m               (load_q_m),
29040    .store_q_m              (store_q_m),
29041    .sign_extend_x          (sign_extend_x),
29042    .size_x                 (size_x),
29043
29044
29045
29046
29047
29048
29049
29050
29051
29052
29053
29054
29055
29056
29057
29058
29059
29060    .d_dat_i                (D_DAT_I),
29061    .d_ack_i                (D_ACK_I),
29062    .d_err_i                (D_ERR_I),
29063    .d_rty_i                (D_RTY_I),
29064
29065
29066
29067
29068
29069
29070
29071
29072
29073    .load_data_w            (load_data_w),
29074    .stall_wb_load          (stall_wb_load),
29075
29076    .d_dat_o                (D_DAT_O),
29077    .d_adr_o                (D_ADR_O),
29078    .d_cyc_o                (D_CYC_O),
29079    .d_sel_o                (D_SEL_O),
29080    .d_stb_o                (D_STB_O),
29081    .d_we_o                 (D_WE_O),
29082    .d_cti_o                (D_CTI_O),
29083    .d_lock_o               (D_LOCK_O),
29084    .d_bte_o                (D_BTE_O)
29085    );
29086
29087
29088lm32_adder adder (
29089
29090    .adder_op_x             (adder_op_x),
29091    .adder_op_x_n           (adder_op_x_n),
29092    .operand_0_x            (operand_0_x),
29093    .operand_1_x            (operand_1_x),
29094
29095    .adder_result_x         (adder_result_x),
29096    .adder_carry_n_x        (adder_carry_n_x),
29097    .adder_overflow_x       (adder_overflow_x)
29098    );
29099
29100
29101lm32_logic_op logic_op (
29102
29103    .logic_op_x             (logic_op_x),
29104    .operand_0_x            (operand_0_x),
29105
29106    .operand_1_x            (operand_1_x),
29107
29108    .logic_result_x         (logic_result_x)
29109    );
29110
29111
29112
29113
29114lm32_shifter shifter (
29115
29116    .clk_i                  (clk_i),
29117    .rst_i                  (rst_i),
29118    .stall_x                (stall_x),
29119    .direction_x            (direction_x),
29120    .sign_extend_x          (sign_extend_x),
29121    .operand_0_x            (operand_0_x),
29122    .operand_1_x            (operand_1_x),
29123
29124    .shifter_result_m       (shifter_result_m)
29125    );
29126
29127
29128
29129
29130
29131
29132lm32_multiplier multiplier (
29133
29134    .clk_i                  (clk_i),
29135    .rst_i                  (rst_i),
29136    .stall_x                (stall_x),
29137    .stall_m                (stall_m),
29138    .operand_0              (d_result_0),
29139    .operand_1              (d_result_1),
29140
29141    .result                 (multiplier_result_w)
29142    );
29143
29144
29145
29146
29147
29148
29149
29150
29151
29152
29153
29154
29155
29156
29157
29158
29159
29160
29161
29162
29163
29164
29165
29166
29167
29168
29169
29170
29171
29172
29173
29174
29175
29176
29177
29178
29179
29180
29181lm32_interrupt_medium interrupt_unit (
29182
29183    .clk_i                  (clk_i),
29184    .rst_i                  (rst_i),
29185
29186    .interrupt              (interrupt),
29187
29188    .stall_x                (stall_x),
29189
29190
29191
29192
29193
29194    .exception              (exception_q_w),
29195
29196
29197    .eret_q_x               (eret_q_x),
29198
29199
29200
29201
29202    .csr                    (csr_x),
29203    .csr_write_data         (operand_1_x),
29204    .csr_write_enable       (csr_write_enable_q_x),
29205
29206    .interrupt_exception    (interrupt_exception),
29207
29208    .csr_read_data          (interrupt_csr_read_data_x)
29209    );
29210
29211
29212
29213
29214
29215
29216
29217
29218
29219
29220
29221
29222
29223
29224
29225
29226
29227
29228
29229
29230
29231
29232
29233
29234
29235
29236
29237
29238
29239
29240
29241
29242
29243
29244
29245
29246
29247
29248
29249
29250
29251
29252
29253
29254
29255
29256
29257
29258
29259
29260
29261
29262
29263
29264
29265
29266
29267
29268
29269
29270
29271
29272
29273
29274
29275
29276
29277
29278
29279
29280
29281
29282
29283
29284
29285
29286
29287
29288
29289
29290
29291
29292
29293
29294
29295
29296
29297
29298
29299
29300
29301
29302
29303
29304
29305
29306
29307
29308
29309
29310
29311
29312
29313
29314
29315
29316
29317
29318
29319
29320
29321
29322
29323
29324
29325
29326
29327
29328
29329
29330
29331
29332
29333
29334
29335
29336
29337   wire [31:0] regfile_data_0, regfile_data_1;
29338   reg [31:0]  w_result_d;
29339   reg 	       regfile_raw_0, regfile_raw_0_nxt;
29340   reg 	       regfile_raw_1, regfile_raw_1_nxt;
29341
29342
29343
29344
29345
29346   always @(reg_write_enable_q_w or write_idx_w or instruction_f)
29347     begin
29348	if (reg_write_enable_q_w
29349	    && (write_idx_w == instruction_f[25:21]))
29350	  regfile_raw_0_nxt = 1'b1;
29351	else
29352	  regfile_raw_0_nxt = 1'b0;
29353
29354	if (reg_write_enable_q_w
29355	    && (write_idx_w == instruction_f[20:16]))
29356	  regfile_raw_1_nxt = 1'b1;
29357	else
29358	  regfile_raw_1_nxt = 1'b0;
29359     end
29360
29361
29362
29363
29364
29365
29366   always @(regfile_raw_0 or w_result_d or regfile_data_0)
29367     if (regfile_raw_0)
29368       reg_data_live_0 = w_result_d;
29369     else
29370       reg_data_live_0 = regfile_data_0;
29371
29372
29373
29374
29375
29376
29377   always @(regfile_raw_1 or w_result_d or regfile_data_1)
29378     if (regfile_raw_1)
29379       reg_data_live_1 = w_result_d;
29380     else
29381       reg_data_live_1 = regfile_data_1;
29382
29383
29384
29385
29386   always @(posedge clk_i  )
29387     if (rst_i ==  1'b1)
29388       begin
29389	  regfile_raw_0 <= 1'b0;
29390	  regfile_raw_1 <= 1'b0;
29391	  w_result_d <= 32'b0;
29392       end
29393     else
29394       begin
29395	  regfile_raw_0 <= regfile_raw_0_nxt;
29396	  regfile_raw_1 <= regfile_raw_1_nxt;
29397	  w_result_d <= w_result;
29398       end
29399
29400
29401
29402
29403
29404   lm32_dp_ram
29405     #(
29406
29407       .addr_depth(1<<5),
29408       .addr_width(5),
29409       .data_width(32)
29410       )
29411   reg_0
29412     (
29413
29414      .clk_i	(clk_i),
29415      .rst_i	(rst_i),
29416      .we_i	(reg_write_enable_q_w),
29417      .wdata_i	(w_result),
29418      .waddr_i	(write_idx_w),
29419      .raddr_i	(instruction_f[25:21]),
29420
29421      .rdata_o	(regfile_data_0)
29422      );
29423
29424   lm32_dp_ram
29425     #(
29426       .addr_depth(1<<5),
29427       .addr_width(5),
29428       .data_width(32)
29429       )
29430   reg_1
29431     (
29432
29433      .clk_i	(clk_i),
29434      .rst_i	(rst_i),
29435      .we_i	(reg_write_enable_q_w),
29436      .wdata_i	(w_result),
29437      .waddr_i	(write_idx_w),
29438      .raddr_i	(instruction_f[20:16]),
29439
29440      .rdata_o	(regfile_data_1)
29441      );
29442
29443
29444
29445
29446
29447
29448
29449
29450
29451
29452
29453
29454
29455
29456
29457
29458
29459
29460
29461
29462
29463
29464
29465
29466
29467
29468
29469
29470
29471
29472
29473
29474
29475
29476
29477
29478
29479
29480
29481
29482
29483
29484
29485
29486
29487
29488
29489
29490
29491
29492
29493
29494
29495
29496
29497
29498
29499
29500
29501
29502
29503
29504
29505
29506
29507
29508
29509
29510
29511
29512
29513
29514
29515
29516
29517
29518
29519
29520
29521
29522assign reg_data_0 = use_buf ? reg_data_buf_0 : reg_data_live_0;
29523assign reg_data_1 = use_buf ? reg_data_buf_1 : reg_data_live_1;
29524
29525
29526
29527
29528
29529
29530
29531
29532
29533
29534
29535
29536assign raw_x_0 = (write_idx_x == read_idx_0_d) && (write_enable_q_x ==  1'b1);
29537assign raw_m_0 = (write_idx_m == read_idx_0_d) && (write_enable_q_m ==  1'b1);
29538assign raw_w_0 = (write_idx_w == read_idx_0_d) && (write_enable_q_w ==  1'b1);
29539assign raw_x_1 = (write_idx_x == read_idx_1_d) && (write_enable_q_x ==  1'b1);
29540assign raw_m_1 = (write_idx_m == read_idx_1_d) && (write_enable_q_m ==  1'b1);
29541assign raw_w_1 = (write_idx_w == read_idx_1_d) && (write_enable_q_w ==  1'b1);
29542
29543
29544always @(*)
29545begin
29546    if (   (   (x_bypass_enable_x ==  1'b0)
29547            && (   ((read_enable_0_d ==  1'b1) && (raw_x_0 ==  1'b1))
29548                || ((read_enable_1_d ==  1'b1) && (raw_x_1 ==  1'b1))
29549               )
29550           )
29551        || (   (m_bypass_enable_m ==  1'b0)
29552            && (   ((read_enable_0_d ==  1'b1) && (raw_m_0 ==  1'b1))
29553                || ((read_enable_1_d ==  1'b1) && (raw_m_1 ==  1'b1))
29554               )
29555           )
29556       )
29557        interlock =  1'b1;
29558    else
29559        interlock =  1'b0;
29560end
29561
29562
29563always @(*)
29564begin
29565    if (raw_x_0 ==  1'b1)
29566        bypass_data_0 = x_result;
29567    else if (raw_m_0 ==  1'b1)
29568        bypass_data_0 = m_result;
29569    else if (raw_w_0 ==  1'b1)
29570        bypass_data_0 = w_result;
29571    else
29572        bypass_data_0 = reg_data_0;
29573end
29574
29575
29576always @(*)
29577begin
29578    if (raw_x_1 ==  1'b1)
29579        bypass_data_1 = x_result;
29580    else if (raw_m_1 ==  1'b1)
29581        bypass_data_1 = m_result;
29582    else if (raw_w_1 ==  1'b1)
29583        bypass_data_1 = w_result;
29584    else
29585        bypass_data_1 = reg_data_1;
29586end
29587
29588
29589
29590
29591
29592
29593
29594   assign branch_predict_d = bi_unconditional | bi_conditional;
29595   assign branch_predict_taken_d = bi_unconditional ? 1'b1 : (bi_conditional ? instruction_d[15] : 1'b0);
29596
29597
29598   assign branch_target_d = pc_d + branch_offset_d;
29599
29600
29601
29602
29603   assign branch_predict_address_d = branch_predict_taken_d ? branch_target_d : pc_f;
29604
29605
29606always @(*)
29607begin
29608    d_result_0 = d_result_sel_0_d[0] ? {pc_f, 2'b00} : bypass_data_0;
29609    case (d_result_sel_1_d)
29610     2'b00:      d_result_1 = { 32{1'b0}};
29611     2'b01:     d_result_1 = bypass_data_1;
29612     2'b10: d_result_1 = immediate_d;
29613    default:                        d_result_1 = { 32{1'bx}};
29614    endcase
29615end
29616
29617
29618
29619
29620
29621
29622
29623
29624
29625
29626
29627assign sextb_result_x = {{24{operand_0_x[7]}}, operand_0_x[7:0]};
29628assign sexth_result_x = {{16{operand_0_x[15]}}, operand_0_x[15:0]};
29629assign sext_result_x = size_x ==  2'b00 ? sextb_result_x : sexth_result_x;
29630
29631
29632
29633
29634
29635
29636
29637
29638
29639
29640assign cmp_zero = operand_0_x == operand_1_x;
29641assign cmp_negative = adder_result_x[ 32-1];
29642assign cmp_overflow = adder_overflow_x;
29643assign cmp_carry_n = adder_carry_n_x;
29644always @(*)
29645begin
29646    case (condition_x)
29647     3'b000:   condition_met_x =  1'b1;
29648     3'b110:   condition_met_x =  1'b1;
29649     3'b001:    condition_met_x = cmp_zero;
29650     3'b111:   condition_met_x = !cmp_zero;
29651     3'b010:    condition_met_x = !cmp_zero && (cmp_negative == cmp_overflow);
29652     3'b101:   condition_met_x = cmp_carry_n && !cmp_zero;
29653     3'b011:   condition_met_x = cmp_negative == cmp_overflow;
29654     3'b100:  condition_met_x = cmp_carry_n;
29655    default:              condition_met_x = 1'bx;
29656    endcase
29657end
29658
29659
29660always @(*)
29661begin
29662    x_result =   x_result_sel_add_x ? adder_result_x
29663               : x_result_sel_csr_x ? csr_read_data_x
29664
29665
29666               : x_result_sel_sext_x ? sext_result_x
29667
29668
29669
29670
29671
29672
29673
29674
29675
29676
29677
29678
29679
29680
29681               : logic_result_x;
29682end
29683
29684
29685always @(*)
29686begin
29687    m_result =   m_result_sel_compare_m ? {{ 32-1{1'b0}}, condition_met_m}
29688
29689
29690               : m_result_sel_shift_m ? shifter_result_m
29691
29692
29693               : operand_m;
29694end
29695
29696
29697always @(*)
29698begin
29699    w_result =    w_result_sel_load_w ? load_data_w
29700
29701
29702                : w_result_sel_mul_w ? multiplier_result_w
29703
29704
29705                : operand_w;
29706end
29707
29708
29709
29710
29711
29712
29713
29714
29715
29716
29717
29718
29719
29720assign branch_taken_m =      (stall_m ==  1'b0)
29721                          && (   (   (branch_m ==  1'b1)
29722                                  && (valid_m ==  1'b1)
29723                                  && (   (   (condition_met_m ==  1'b1)
29724					  && (branch_predict_taken_m ==  1'b0)
29725					 )
29726				      || (   (condition_met_m ==  1'b0)
29727					  && (branch_predict_m ==  1'b1)
29728					  && (branch_predict_taken_m ==  1'b1)
29729					 )
29730				     )
29731                                 )
29732                              || (exception_m ==  1'b1)
29733                             );
29734
29735
29736assign branch_mispredict_taken_m =    (condition_met_m ==  1'b0)
29737                                   && (branch_predict_m ==  1'b1)
29738	   			   && (branch_predict_taken_m ==  1'b1);
29739
29740
29741assign branch_flushX_m =    (stall_m ==  1'b0)
29742                         && (   (   (branch_m ==  1'b1)
29743                                 && (valid_m ==  1'b1)
29744			         && (   (condition_met_m ==  1'b1)
29745				     || (   (condition_met_m ==  1'b0)
29746					 && (branch_predict_m ==  1'b1)
29747					 && (branch_predict_taken_m ==  1'b1)
29748					)
29749				    )
29750			        )
29751			     || (exception_m ==  1'b1)
29752			    );
29753
29754
29755assign kill_f =    (   (valid_d ==  1'b1)
29756                    && (branch_predict_taken_d ==  1'b1)
29757		   )
29758                || (branch_taken_m ==  1'b1)
29759
29760
29761
29762
29763
29764
29765
29766
29767
29768
29769
29770
29771                ;
29772assign kill_d =    (branch_taken_m ==  1'b1)
29773
29774
29775
29776
29777
29778
29779
29780
29781
29782
29783
29784
29785                ;
29786assign kill_x =    (branch_flushX_m ==  1'b1)
29787
29788
29789
29790
29791                ;
29792assign kill_m =     1'b0
29793
29794
29795
29796
29797                ;
29798assign kill_w =     1'b0
29799
29800
29801
29802
29803                ;
29804
29805
29806
29807
29808
29809
29810
29811
29812
29813
29814
29815
29816
29817
29818
29819
29820
29821
29822
29823
29824
29825
29826
29827
29828
29829
29830
29831
29832
29833
29834
29835
29836
29837
29838assign system_call_exception = (   (scall_x ==  1'b1)
29839
29840
29841
29842
29843			       );
29844
29845
29846
29847
29848
29849
29850
29851
29852
29853
29854
29855
29856
29857
29858
29859
29860
29861
29862
29863
29864
29865
29866
29867
29868
29869
29870
29871
29872
29873
29874
29875
29876
29877assign exception_x =           (system_call_exception ==  1'b1)
29878
29879
29880
29881
29882
29883
29884
29885
29886
29887
29888
29889                            || (   (interrupt_exception ==  1'b1)
29890
29891
29892
29893
29894
29895
29896
29897
29898
29899                               )
29900
29901
29902                            ;
29903
29904
29905
29906
29907
29908
29909
29910
29911
29912
29913
29914
29915
29916
29917
29918always @(*)
29919begin
29920
29921
29922
29923
29924
29925
29926
29927
29928
29929
29930
29931
29932
29933
29934
29935
29936
29937
29938
29939
29940
29941
29942
29943
29944
29945
29946
29947
29948
29949
29950
29951
29952
29953
29954
29955
29956
29957
29958
29959         if (   (interrupt_exception ==  1'b1)
29960
29961
29962
29963
29964            )
29965        eid_x =  3'h6;
29966    else
29967
29968
29969        eid_x =  3'h7;
29970end
29971
29972
29973
29974assign stall_a = (stall_f ==  1'b1);
29975
29976assign stall_f = (stall_d ==  1'b1);
29977
29978assign stall_d =   (stall_x ==  1'b1)
29979                || (   (interlock ==  1'b1)
29980                    && (kill_d ==  1'b0)
29981                   )
29982		|| (   (   (eret_d ==  1'b1)
29983			|| (scall_d ==  1'b1)
29984
29985
29986
29987
29988		       )
29989		    && (   (load_q_x ==  1'b1)
29990			|| (load_q_m ==  1'b1)
29991			|| (store_q_x ==  1'b1)
29992			|| (store_q_m ==  1'b1)
29993			|| (D_CYC_O ==  1'b1)
29994		       )
29995                    && (kill_d ==  1'b0)
29996		   )
29997
29998
29999
30000
30001
30002
30003
30004
30005
30006
30007
30008
30009
30010
30011                || (   (csr_write_enable_d ==  1'b1)
30012                    && (load_q_x ==  1'b1)
30013                   )
30014
30015
30016
30017
30018
30019
30020
30021
30022
30023
30024                ;
30025
30026assign stall_x =    (stall_m ==  1'b1)
30027
30028
30029
30030
30031
30032
30033
30034
30035                 ;
30036
30037assign stall_m =    (stall_wb_load ==  1'b1)
30038
30039
30040
30041
30042                 || (   (D_CYC_O ==  1'b1)
30043                     && (   (store_m ==  1'b1)
30044
30045
30046
30047
30048
30049
30050
30051
30052
30053
30054
30055
30056
30057
30058
30059		         || ((store_x ==  1'b1) && (interrupt_exception ==  1'b1))
30060
30061
30062                         || (load_m ==  1'b1)
30063                         || (load_x ==  1'b1)
30064                        )
30065                    )
30066
30067
30068
30069
30070
30071
30072
30073
30074
30075
30076
30077
30078
30079                 || (I_CYC_O ==  1'b1)
30080
30081
30082
30083
30084
30085
30086
30087
30088
30089
30090
30091
30092
30093
30094                 ;
30095
30096
30097
30098
30099
30100
30101
30102
30103
30104
30105
30106
30107
30108
30109
30110
30111
30112
30113
30114
30115
30116
30117assign q_x = (valid_x ==  1'b1) && (kill_x ==  1'b0);
30118assign csr_write_enable_q_x = (csr_write_enable_x ==  1'b1) && (q_x ==  1'b1);
30119assign eret_q_x = (eret_x ==  1'b1) && (q_x ==  1'b1);
30120
30121
30122
30123
30124assign load_q_x = (load_x ==  1'b1)
30125               && (q_x ==  1'b1)
30126
30127
30128
30129
30130                  ;
30131assign store_q_x = (store_x ==  1'b1)
30132               && (q_x ==  1'b1)
30133
30134
30135
30136
30137                  ;
30138
30139
30140
30141
30142assign q_m = (valid_m ==  1'b1) && (kill_m ==  1'b0) && (exception_m ==  1'b0);
30143assign load_q_m = (load_m ==  1'b1) && (q_m ==  1'b1);
30144assign store_q_m = (store_m ==  1'b1) && (q_m ==  1'b1);
30145
30146
30147
30148
30149
30150assign exception_q_w = ((exception_w ==  1'b1) && (valid_w ==  1'b1));
30151
30152
30153
30154assign write_enable_q_x = (write_enable_x ==  1'b1) && (valid_x ==  1'b1) && (branch_flushX_m ==  1'b0);
30155assign write_enable_q_m = (write_enable_m ==  1'b1) && (valid_m ==  1'b1);
30156assign write_enable_q_w = (write_enable_w ==  1'b1) && (valid_w ==  1'b1);
30157
30158assign reg_write_enable_q_w = (write_enable_w ==  1'b1) && (kill_w ==  1'b0) && (valid_w ==  1'b1);
30159
30160
30161assign cfg = {
30162               6'h02,
30163              watchpoints[3:0],
30164              breakpoints[3:0],
30165              interrupts[5:0],
30166
30167
30168
30169
30170               1'b0,
30171
30172
30173
30174
30175
30176
30177               1'b0,
30178
30179
30180
30181
30182
30183
30184               1'b0,
30185
30186
30187
30188
30189
30190
30191               1'b0,
30192
30193
30194
30195
30196
30197
30198               1'b0,
30199
30200
30201
30202
30203
30204
30205               1'b0,
30206
30207
30208
30209
30210
30211
30212               1'b0,
30213
30214
30215
30216
30217
30218
30219               1'b0,
30220
30221
30222
30223
30224               1'b1,
30225
30226
30227
30228
30229
30230
30231               1'b1,
30232
30233
30234
30235
30236
30237
30238
30239
30240               1'b0,
30241
30242
30243
30244
30245               1'b1
30246
30247
30248
30249
30250              };
30251
30252assign cfg2 = {
30253		     30'b0,
30254
30255
30256
30257
30258		      1'b0,
30259
30260
30261
30262
30263
30264
30265		      1'b0
30266
30267
30268		     };
30269
30270
30271
30272
30273
30274
30275
30276
30277
30278
30279
30280
30281
30282
30283
30284
30285
30286
30287
30288
30289
30290
30291
30292
30293
30294
30295
30296
30297
30298
30299
30300assign csr_d = read_idx_0_d[ (4 -1):0];
30301
30302
30303always @(*)
30304begin
30305    case (csr_x)
30306
30307
30308     4 'h0,
30309     4 'h1,
30310     4 'h2:   csr_read_data_x = interrupt_csr_read_data_x;
30311
30312
30313
30314
30315
30316
30317     4 'h6:  csr_read_data_x = cfg;
30318     4 'h7:  csr_read_data_x = {eba, 8'h00};
30319
30320
30321
30322
30323
30324
30325
30326
30327
30328     4 'ha: csr_read_data_x = cfg2;
30329     4 'hb:  csr_read_data_x = sdb_address;
30330
30331
30332
30333
30334
30335
30336    default:        csr_read_data_x = { 32{1'bx}};
30337    endcase
30338end
30339
30340
30341
30342
30343
30344
30345always @(posedge clk_i  )
30346begin
30347    if (rst_i ==  1'b1)
30348        eba <= eba_reset[ (32-2)+2-1:8];
30349    else
30350    begin
30351        if ((csr_write_enable_q_x ==  1'b1) && (csr_x ==  4 'h7) && (stall_x ==  1'b0))
30352            eba <= operand_1_x[ (32-2)+2-1:8];
30353
30354
30355
30356
30357
30358
30359
30360
30361
30362
30363
30364    end
30365end
30366
30367
30368
30369
30370
30371
30372
30373
30374
30375
30376
30377
30378
30379
30380
30381
30382
30383
30384
30385
30386
30387
30388
30389
30390
30391
30392
30393
30394
30395
30396
30397
30398
30399
30400
30401
30402
30403
30404
30405
30406
30407
30408
30409
30410
30411
30412
30413
30414
30415
30416
30417
30418
30419
30420
30421
30422
30423
30424
30425
30426
30427
30428
30429
30430
30431
30432
30433
30434
30435
30436
30437
30438
30439
30440
30441
30442
30443
30444
30445
30446
30447
30448
30449
30450
30451
30452
30453
30454
30455
30456
30457
30458
30459
30460
30461
30462
30463
30464
30465
30466
30467
30468
30469
30470always @(posedge clk_i  )
30471begin
30472    if (rst_i ==  1'b1)
30473    begin
30474        valid_f <=  1'b0;
30475        valid_d <=  1'b0;
30476        valid_x <=  1'b0;
30477        valid_m <=  1'b0;
30478        valid_w <=  1'b0;
30479    end
30480    else
30481    begin
30482        if ((kill_f ==  1'b1) || (stall_a ==  1'b0))
30483
30484
30485
30486
30487            valid_f <=  1'b1;
30488
30489
30490        else if (stall_f ==  1'b0)
30491            valid_f <=  1'b0;
30492
30493        if (kill_d ==  1'b1)
30494            valid_d <=  1'b0;
30495        else if (stall_f ==  1'b0)
30496            valid_d <= valid_f & !kill_f;
30497        else if (stall_d ==  1'b0)
30498            valid_d <=  1'b0;
30499
30500        if (stall_d ==  1'b0)
30501            valid_x <= valid_d & !kill_d;
30502        else if (kill_x ==  1'b1)
30503            valid_x <=  1'b0;
30504        else if (stall_x ==  1'b0)
30505            valid_x <=  1'b0;
30506
30507        if (kill_m ==  1'b1)
30508            valid_m <=  1'b0;
30509        else if (stall_x ==  1'b0)
30510            valid_m <= valid_x & !kill_x;
30511        else if (stall_m ==  1'b0)
30512            valid_m <=  1'b0;
30513
30514        if (stall_m ==  1'b0)
30515            valid_w <= valid_m & !kill_m;
30516        else
30517            valid_w <=  1'b0;
30518    end
30519end
30520
30521
30522always @(posedge clk_i  )
30523begin
30524    if (rst_i ==  1'b1)
30525    begin
30526
30527
30528
30529
30530        operand_0_x <= { 32{1'b0}};
30531        operand_1_x <= { 32{1'b0}};
30532        store_operand_x <= { 32{1'b0}};
30533        branch_target_x <= { (32-2){1'b0}};
30534        x_result_sel_csr_x <=  1'b0;
30535
30536
30537
30538
30539
30540
30541
30542
30543
30544
30545        x_result_sel_sext_x <=  1'b0;
30546
30547
30548
30549
30550
30551
30552        x_result_sel_add_x <=  1'b0;
30553        m_result_sel_compare_x <=  1'b0;
30554
30555
30556        m_result_sel_shift_x <=  1'b0;
30557
30558
30559        w_result_sel_load_x <=  1'b0;
30560
30561
30562        w_result_sel_mul_x <=  1'b0;
30563
30564
30565        x_bypass_enable_x <=  1'b0;
30566        m_bypass_enable_x <=  1'b0;
30567        write_enable_x <=  1'b0;
30568        write_idx_x <= { 5{1'b0}};
30569        csr_x <= { 4 {1'b0}};
30570        load_x <=  1'b0;
30571        store_x <=  1'b0;
30572        size_x <= { 2{1'b0}};
30573        sign_extend_x <=  1'b0;
30574        adder_op_x <=  1'b0;
30575        adder_op_x_n <=  1'b0;
30576        logic_op_x <= 4'h0;
30577
30578
30579        direction_x <=  1'b0;
30580
30581
30582
30583
30584
30585
30586
30587        branch_x <=  1'b0;
30588        branch_predict_x <=  1'b0;
30589        branch_predict_taken_x <=  1'b0;
30590        condition_x <=  3'b000;
30591
30592
30593
30594
30595        scall_x <=  1'b0;
30596        eret_x <=  1'b0;
30597
30598
30599
30600
30601
30602
30603
30604
30605
30606        csr_write_enable_x <=  1'b0;
30607        operand_m <= { 32{1'b0}};
30608        branch_target_m <= { (32-2){1'b0}};
30609        m_result_sel_compare_m <=  1'b0;
30610
30611
30612        m_result_sel_shift_m <=  1'b0;
30613
30614
30615        w_result_sel_load_m <=  1'b0;
30616
30617
30618        w_result_sel_mul_m <=  1'b0;
30619
30620
30621        m_bypass_enable_m <=  1'b0;
30622        branch_m <=  1'b0;
30623        branch_predict_m <=  1'b0;
30624	branch_predict_taken_m <=  1'b0;
30625        exception_m <=  1'b0;
30626        load_m <=  1'b0;
30627        store_m <=  1'b0;
30628        write_enable_m <=  1'b0;
30629        write_idx_m <= { 5{1'b0}};
30630        condition_met_m <=  1'b0;
30631
30632
30633
30634
30635
30636
30637
30638
30639
30640        operand_w <= { 32{1'b0}};
30641        w_result_sel_load_w <=  1'b0;
30642
30643
30644        w_result_sel_mul_w <=  1'b0;
30645
30646
30647        write_idx_w <= { 5{1'b0}};
30648        write_enable_w <=  1'b0;
30649
30650
30651
30652
30653
30654        exception_w <=  1'b0;
30655
30656
30657
30658
30659
30660
30661    end
30662    else
30663    begin
30664
30665
30666        if (stall_x ==  1'b0)
30667        begin
30668
30669
30670
30671
30672            operand_0_x <= d_result_0;
30673            operand_1_x <= d_result_1;
30674            store_operand_x <= bypass_data_1;
30675            branch_target_x <= branch_reg_d ==  1'b1 ? bypass_data_0[ ((32-2)+2-1):2] : branch_target_d;
30676            x_result_sel_csr_x <= x_result_sel_csr_d;
30677
30678
30679
30680
30681
30682
30683
30684
30685
30686
30687            x_result_sel_sext_x <= x_result_sel_sext_d;
30688
30689
30690
30691
30692
30693
30694            x_result_sel_add_x <= x_result_sel_add_d;
30695            m_result_sel_compare_x <= m_result_sel_compare_d;
30696
30697
30698            m_result_sel_shift_x <= m_result_sel_shift_d;
30699
30700
30701            w_result_sel_load_x <= w_result_sel_load_d;
30702
30703
30704            w_result_sel_mul_x <= w_result_sel_mul_d;
30705
30706
30707            x_bypass_enable_x <= x_bypass_enable_d;
30708            m_bypass_enable_x <= m_bypass_enable_d;
30709            load_x <= load_d;
30710            store_x <= store_d;
30711            branch_x <= branch_d;
30712	    branch_predict_x <= branch_predict_d;
30713	    branch_predict_taken_x <= branch_predict_taken_d;
30714	    write_idx_x <= write_idx_d;
30715            csr_x <= csr_d;
30716            size_x <= size_d;
30717            sign_extend_x <= sign_extend_d;
30718            adder_op_x <= adder_op_d;
30719            adder_op_x_n <= ~adder_op_d;
30720            logic_op_x <= logic_op_d;
30721
30722
30723            direction_x <= direction_d;
30724
30725
30726
30727
30728
30729
30730            condition_x <= condition_d;
30731            csr_write_enable_x <= csr_write_enable_d;
30732
30733
30734
30735
30736            scall_x <= scall_d;
30737
30738
30739
30740
30741            eret_x <= eret_d;
30742
30743
30744
30745
30746            write_enable_x <= write_enable_d;
30747        end
30748
30749
30750
30751        if (stall_m ==  1'b0)
30752        begin
30753            operand_m <= x_result;
30754            m_result_sel_compare_m <= m_result_sel_compare_x;
30755
30756
30757            m_result_sel_shift_m <= m_result_sel_shift_x;
30758
30759
30760            if (exception_x ==  1'b1)
30761            begin
30762                w_result_sel_load_m <=  1'b0;
30763
30764
30765                w_result_sel_mul_m <=  1'b0;
30766
30767
30768            end
30769            else
30770            begin
30771                w_result_sel_load_m <= w_result_sel_load_x;
30772
30773
30774                w_result_sel_mul_m <= w_result_sel_mul_x;
30775
30776
30777            end
30778            m_bypass_enable_m <= m_bypass_enable_x;
30779            load_m <= load_x;
30780            store_m <= store_x;
30781
30782
30783
30784
30785            branch_m <= branch_x;
30786	    branch_predict_m <= branch_predict_x;
30787	    branch_predict_taken_m <= branch_predict_taken_x;
30788
30789
30790
30791
30792
30793
30794
30795
30796
30797
30798
30799
30800
30801
30802
30803
30804            if (exception_x ==  1'b1)
30805                write_idx_m <=  5'd30;
30806            else
30807                write_idx_m <= write_idx_x;
30808
30809
30810            condition_met_m <= condition_met_x;
30811
30812
30813
30814
30815
30816
30817
30818
30819
30820
30821
30822
30823            branch_target_m <= exception_x ==  1'b1 ? {eba, eid_x, {3{1'b0}}} : branch_target_x;
30824
30825
30826
30827
30828
30829
30830
30831
30832
30833
30834
30835
30836
30837
30838
30839
30840
30841            write_enable_m <= exception_x ==  1'b1 ?  1'b1 : write_enable_x;
30842
30843
30844
30845
30846
30847        end
30848
30849
30850        if (stall_m ==  1'b0)
30851        begin
30852            if ((exception_x ==  1'b1) && (q_x ==  1'b1) && (stall_x ==  1'b0))
30853                exception_m <=  1'b1;
30854            else
30855                exception_m <=  1'b0;
30856
30857
30858
30859
30860
30861
30862
30863
30864	end
30865
30866
30867
30868
30869
30870
30871        operand_w <= exception_m ==  1'b1 ? {pc_m, 2'b00} : m_result;
30872
30873
30874        w_result_sel_load_w <= w_result_sel_load_m;
30875
30876
30877        w_result_sel_mul_w <= w_result_sel_mul_m;
30878
30879
30880        write_idx_w <= write_idx_m;
30881
30882
30883
30884
30885
30886
30887
30888
30889        write_enable_w <= write_enable_m;
30890
30891
30892
30893
30894
30895        exception_w <= exception_m;
30896
30897
30898
30899
30900
30901
30902
30903
30904
30905
30906
30907    end
30908end
30909
30910
30911
30912
30913
30914always @(posedge clk_i  )
30915begin
30916    if (rst_i ==  1'b1)
30917    begin
30918        use_buf <=  1'b0;
30919        reg_data_buf_0 <= { 32{1'b0}};
30920        reg_data_buf_1 <= { 32{1'b0}};
30921    end
30922    else
30923    begin
30924        if (stall_d ==  1'b0)
30925            use_buf <=  1'b0;
30926        else if (use_buf ==  1'b0)
30927        begin
30928            reg_data_buf_0 <= reg_data_live_0;
30929            reg_data_buf_1 <= reg_data_live_1;
30930            use_buf <=  1'b1;
30931        end
30932        if (reg_write_enable_q_w ==  1'b1)
30933        begin
30934            if (write_idx_w == read_idx_0_d)
30935                reg_data_buf_0 <= w_result;
30936            if (write_idx_w == read_idx_1_d)
30937                reg_data_buf_1 <= w_result;
30938        end
30939    end
30940end
30941
30942
30943
30944
30945
30946
30947
30948
30949
30950
30951
30952
30953
30954
30955
30956
30957
30958
30959
30960
30961
30962
30963
30964
30965
30966
30967
30968
30969
30970
30971
30972
30973
30974
30975
30976
30977
30978
30979
30980
30981
30982
30983
30984
30985
30986
30987
30988
30989
30990
30991
30992
30993
30994
30995
30996
30997
30998
30999
31000
31001
31002
31003
31004
31005
31006
31007
31008
31009
31010
31011
31012
31013
31014
31015
31016
31017
31018
31019
31020
31021
31022
31023
31024
31025
31026
31027
31028
31029
31030
31031
31032
31033
31034
31035
31036
31037
31038
31039
31040
31041
31042
31043
31044
31045
31046
31047
31048
31049
31050
31051
31052
31053
31054
31055
31056
31057
31058
31059
31060
31061endmodule
31062
31063
31064
31065
31066
31067
31068
31069
31070
31071
31072
31073
31074
31075
31076
31077
31078
31079
31080
31081
31082
31083
31084
31085
31086
31087
31088
31089
31090
31091
31092
31093
31094
31095
31096
31097
31098
31099
31100
31101
31102
31103
31104
31105
31106
31107
31108
31109
31110
31111
31112
31113
31114
31115
31116
31117
31118
31119
31120
31121
31122
31123
31124
31125
31126
31127
31128
31129
31130
31131
31132
31133
31134
31135
31136
31137
31138
31139
31140
31141
31142
31143
31144
31145
31146
31147
31148
31149
31150
31151
31152
31153
31154
31155
31156
31157
31158
31159
31160
31161
31162
31163
31164
31165
31166
31167
31168
31169
31170
31171
31172
31173
31174
31175
31176
31177
31178
31179
31180
31181
31182
31183
31184
31185
31186
31187
31188
31189
31190
31191
31192
31193
31194
31195
31196
31197
31198
31199
31200
31201
31202
31203
31204
31205
31206
31207
31208
31209
31210
31211
31212
31213
31214
31215
31216
31217
31218
31219
31220
31221
31222
31223
31224
31225
31226
31227
31228
31229
31230
31231
31232
31233
31234
31235
31236
31237
31238
31239
31240
31241
31242
31243
31244
31245
31246
31247
31248
31249
31250
31251
31252
31253
31254
31255
31256
31257
31258
31259
31260
31261
31262
31263
31264
31265
31266
31267
31268
31269
31270
31271
31272
31273
31274
31275
31276
31277
31278
31279
31280
31281
31282
31283
31284
31285
31286
31287
31288
31289
31290
31291
31292
31293
31294
31295
31296
31297
31298
31299
31300
31301
31302
31303
31304
31305
31306
31307
31308
31309
31310
31311
31312
31313
31314
31315
31316
31317
31318
31319
31320
31321
31322
31323
31324
31325
31326
31327
31328
31329
31330
31331
31332
31333
31334
31335
31336
31337
31338
31339
31340
31341
31342
31343
31344
31345
31346
31347
31348
31349
31350
31351
31352
31353
31354
31355
31356
31357
31358
31359
31360
31361
31362
31363
31364
31365
31366
31367
31368
31369
31370
31371
31372
31373
31374
31375
31376
31377
31378
31379
31380
31381
31382
31383
31384
31385
31386
31387
31388
31389
31390
31391
31392
31393
31394
31395
31396
31397
31398
31399
31400
31401
31402
31403
31404
31405
31406
31407
31408
31409
31410
31411
31412
31413
31414
31415
31416
31417
31418
31419
31420
31421
31422
31423
31424
31425
31426
31427
31428
31429
31430
31431
31432
31433
31434
31435module lm32_load_store_unit_medium
31436(
31437
31438    clk_i,
31439    rst_i,
31440
31441    stall_a,
31442    stall_x,
31443    stall_m,
31444    kill_x,
31445    kill_m,
31446    exception_m,
31447    store_operand_x,
31448    load_store_address_x,
31449    load_store_address_m,
31450    load_store_address_w,
31451    load_x,
31452    store_x,
31453    load_q_x,
31454    store_q_x,
31455    load_q_m,
31456    store_q_m,
31457    sign_extend_x,
31458    size_x,
31459
31460
31461
31462
31463
31464    d_dat_i,
31465    d_ack_i,
31466    d_err_i,
31467    d_rty_i,
31468
31469
31470
31471
31472
31473
31474
31475
31476
31477
31478
31479
31480
31481
31482
31483
31484
31485
31486
31487    load_data_w,
31488    stall_wb_load,
31489
31490    d_dat_o,
31491    d_adr_o,
31492    d_cyc_o,
31493    d_sel_o,
31494    d_stb_o,
31495    d_we_o,
31496    d_cti_o,
31497    d_lock_o,
31498    d_bte_o
31499    );
31500
31501
31502
31503
31504
31505parameter associativity = 1;
31506parameter sets = 512;
31507parameter bytes_per_line = 16;
31508parameter base_address = 0;
31509parameter limit = 0;
31510
31511
31512localparam addr_offset_width = bytes_per_line == 4 ? 1 : clogb2(bytes_per_line)-1-2;
31513localparam addr_offset_lsb = 2;
31514localparam addr_offset_msb = (addr_offset_lsb+addr_offset_width-1);
31515
31516
31517
31518
31519
31520   input clk_i;
31521
31522input rst_i;
31523
31524input stall_a;
31525input stall_x;
31526input stall_m;
31527input kill_x;
31528input kill_m;
31529input exception_m;
31530
31531input [ (32-1):0] store_operand_x;
31532input [ (32-1):0] load_store_address_x;
31533input [ (32-1):0] load_store_address_m;
31534input [1:0] load_store_address_w;
31535input load_x;
31536input store_x;
31537input load_q_x;
31538input store_q_x;
31539input load_q_m;
31540input store_q_m;
31541input sign_extend_x;
31542input [ 1:0] size_x;
31543
31544
31545
31546
31547
31548
31549
31550
31551
31552
31553
31554
31555
31556
31557
31558
31559
31560   reg 		 [31:0] iram_dat_d0;
31561   reg 		 iram_en_d0;
31562   wire 	 iram_en;
31563   wire [31:0] 	 iram_data;
31564
31565
31566
31567input [ (32-1):0] d_dat_i;
31568input d_ack_i;
31569input d_err_i;
31570input d_rty_i;
31571
31572
31573
31574
31575
31576
31577
31578
31579
31580
31581
31582
31583
31584
31585
31586
31587
31588
31589output [ (32-1):0] load_data_w;
31590reg    [ (32-1):0] load_data_w;
31591output stall_wb_load;
31592reg    stall_wb_load;
31593
31594output [ (32-1):0] d_dat_o;
31595reg    [ (32-1):0] d_dat_o;
31596output [ (32-1):0] d_adr_o;
31597reg    [ (32-1):0] d_adr_o;
31598output d_cyc_o;
31599reg    d_cyc_o;
31600output [ (4-1):0] d_sel_o;
31601reg    [ (4-1):0] d_sel_o;
31602output d_stb_o;
31603reg    d_stb_o;
31604output d_we_o;
31605reg    d_we_o;
31606output [ (3-1):0] d_cti_o;
31607reg    [ (3-1):0] d_cti_o;
31608output d_lock_o;
31609reg    d_lock_o;
31610output [ (2-1):0] d_bte_o;
31611wire   [ (2-1):0] d_bte_o;
31612
31613
31614
31615
31616
31617
31618reg [ 1:0] size_m;
31619reg [ 1:0] size_w;
31620reg sign_extend_m;
31621reg sign_extend_w;
31622reg [ (32-1):0] store_data_x;
31623reg [ (32-1):0] store_data_m;
31624reg [ (4-1):0] byte_enable_x;
31625reg [ (4-1):0] byte_enable_m;
31626wire [ (32-1):0] data_m;
31627reg [ (32-1):0] data_w;
31628
31629
31630
31631
31632
31633
31634
31635
31636
31637
31638
31639
31640
31641
31642
31643
31644
31645
31646
31647
31648
31649
31650
31651
31652
31653wire wb_select_x;
31654
31655
31656
31657
31658
31659
31660
31661
31662
31663
31664reg wb_select_m;
31665reg [ (32-1):0] wb_data_m;
31666reg wb_load_complete;
31667
31668
31669
31670
31671
31672
31673
31674
31675
31676
31677
31678
31679
31680
31681
31682
31683
31684
31685
31686
31687
31688
31689
31690
31691
31692
31693
31694
31695
31696
31697
31698
31699
31700
31701
31702function integer clogb2;
31703input [31:0] value;
31704begin
31705   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
31706        value = value >> 1;
31707end
31708endfunction
31709
31710function integer clogb2_v1;
31711input [31:0] value;
31712reg   [31:0] i;
31713reg   [31:0] temp;
31714begin
31715   temp = 0;
31716   i    = 0;
31717   for (i = 0; temp < value; i = i + 1)
31718	temp = 1<<i;
31719   clogb2_v1 = i-1;
31720end
31721endfunction
31722
31723
31724
31725
31726
31727
31728
31729
31730
31731
31732
31733
31734
31735
31736
31737
31738
31739
31740
31741
31742
31743
31744
31745
31746
31747
31748
31749
31750
31751
31752
31753
31754
31755
31756
31757
31758
31759
31760
31761
31762
31763
31764
31765
31766
31767
31768
31769
31770
31771
31772
31773
31774
31775
31776
31777
31778
31779
31780
31781
31782
31783
31784
31785
31786
31787
31788
31789
31790
31791
31792
31793
31794
31795
31796
31797
31798
31799
31800
31801
31802
31803
31804
31805
31806
31807
31808
31809
31810
31811
31812
31813
31814
31815
31816   assign wb_select_x =     1'b1
31817
31818
31819
31820
31821
31822
31823
31824
31825
31826
31827
31828
31829                     ;
31830
31831
31832always @(*)
31833begin
31834    case (size_x)
31835     2'b00:  store_data_x = {4{store_operand_x[7:0]}};
31836     2'b11: store_data_x = {2{store_operand_x[15:0]}};
31837     2'b10:  store_data_x = store_operand_x;
31838    default:          store_data_x = { 32{1'bx}};
31839    endcase
31840end
31841
31842
31843always @(*)
31844begin
31845    casez ({size_x, load_store_address_x[1:0]})
31846    { 2'b00, 2'b11}:  byte_enable_x = 4'b0001;
31847    { 2'b00, 2'b10}:  byte_enable_x = 4'b0010;
31848    { 2'b00, 2'b01}:  byte_enable_x = 4'b0100;
31849    { 2'b00, 2'b00}:  byte_enable_x = 4'b1000;
31850    { 2'b11, 2'b1?}: byte_enable_x = 4'b0011;
31851    { 2'b11, 2'b0?}: byte_enable_x = 4'b1100;
31852    { 2'b10, 2'b??}:  byte_enable_x = 4'b1111;
31853    default:                   byte_enable_x = 4'bxxxx;
31854    endcase
31855end
31856
31857
31858
31859
31860
31861
31862
31863
31864
31865
31866
31867
31868
31869
31870
31871
31872
31873
31874
31875
31876
31877
31878
31879
31880
31881
31882
31883
31884
31885
31886
31887
31888
31889
31890
31891
31892
31893
31894
31895
31896
31897
31898
31899
31900
31901
31902
31903
31904
31905
31906
31907
31908
31909
31910
31911
31912
31913
31914
31915
31916
31917
31918
31919
31920
31921
31922
31923
31924
31925
31926
31927
31928
31929
31930
31931   assign data_m = wb_data_m;
31932
31933
31934
31935
31936
31937
31938
31939
31940always @(*)
31941begin
31942    casez ({size_w, load_store_address_w[1:0]})
31943    { 2'b00, 2'b11}:  load_data_w = {{24{sign_extend_w & data_w[7]}}, data_w[7:0]};
31944    { 2'b00, 2'b10}:  load_data_w = {{24{sign_extend_w & data_w[15]}}, data_w[15:8]};
31945    { 2'b00, 2'b01}:  load_data_w = {{24{sign_extend_w & data_w[23]}}, data_w[23:16]};
31946    { 2'b00, 2'b00}:  load_data_w = {{24{sign_extend_w & data_w[31]}}, data_w[31:24]};
31947    { 2'b11, 2'b1?}: load_data_w = {{16{sign_extend_w & data_w[15]}}, data_w[15:0]};
31948    { 2'b11, 2'b0?}: load_data_w = {{16{sign_extend_w & data_w[31]}}, data_w[31:16]};
31949    { 2'b10, 2'b??}:  load_data_w = data_w;
31950    default:                   load_data_w = { 32{1'bx}};
31951    endcase
31952end
31953
31954
31955assign d_bte_o =  2'b00;
31956
31957
31958
31959
31960
31961
31962
31963
31964
31965
31966
31967
31968
31969
31970
31971
31972
31973
31974
31975
31976
31977
31978
31979
31980
31981
31982
31983
31984
31985
31986
31987
31988
31989
31990
31991
31992always @(posedge clk_i  )
31993begin
31994    if (rst_i ==  1'b1)
31995    begin
31996        d_cyc_o <=  1'b0;
31997        d_stb_o <=  1'b0;
31998        d_dat_o <= { 32{1'b0}};
31999        d_adr_o <= { 32{1'b0}};
32000        d_sel_o <= { 4{ 1'b0}};
32001        d_we_o <=  1'b0;
32002        d_cti_o <=  3'b111;
32003        d_lock_o <=  1'b0;
32004        wb_data_m <= { 32{1'b0}};
32005        wb_load_complete <=  1'b0;
32006        stall_wb_load <=  1'b0;
32007
32008
32009
32010
32011    end
32012    else
32013    begin
32014
32015
32016
32017
32018
32019
32020        if (d_cyc_o ==  1'b1)
32021        begin
32022
32023            if ((d_ack_i ==  1'b1) || (d_err_i ==  1'b1))
32024            begin
32025
32026
32027
32028
32029
32030
32031
32032
32033
32034                begin
32035
32036                    d_cyc_o <=  1'b0;
32037                    d_stb_o <=  1'b0;
32038                    d_lock_o <=  1'b0;
32039                end
32040
32041
32042
32043
32044
32045
32046
32047                wb_data_m <= d_dat_i;
32048
32049                wb_load_complete <= !d_we_o;
32050            end
32051
32052        end
32053        else
32054        begin
32055
32056
32057
32058
32059
32060
32061
32062
32063
32064
32065
32066
32067
32068
32069
32070                 if (   (store_q_m ==  1'b1)
32071                     && (stall_m ==  1'b0)
32072
32073
32074
32075
32076
32077
32078
32079
32080                    )
32081            begin
32082
32083                d_dat_o <= store_data_m;
32084                d_adr_o <= load_store_address_m;
32085                d_cyc_o <=  1'b1;
32086                d_sel_o <= byte_enable_m;
32087                d_stb_o <=  1'b1;
32088                d_we_o <=  1'b1;
32089                d_cti_o <=  3'b111;
32090            end
32091            else if (   (load_q_m ==  1'b1)
32092                     && (wb_select_m ==  1'b1)
32093                     && (wb_load_complete ==  1'b0)
32094
32095                    )
32096            begin
32097
32098                stall_wb_load <=  1'b0;
32099                d_adr_o <= load_store_address_m;
32100                d_cyc_o <=  1'b1;
32101                d_sel_o <= byte_enable_m;
32102                d_stb_o <=  1'b1;
32103                d_we_o <=  1'b0;
32104                d_cti_o <=  3'b111;
32105            end
32106        end
32107
32108        if (stall_m ==  1'b0)
32109            wb_load_complete <=  1'b0;
32110
32111        if ((load_q_x ==  1'b1) && (wb_select_x ==  1'b1) && (stall_x ==  1'b0))
32112            stall_wb_load <=  1'b1;
32113
32114        if ((kill_m ==  1'b1) || (exception_m ==  1'b1))
32115            stall_wb_load <=  1'b0;
32116    end
32117end
32118
32119
32120
32121
32122always @(posedge clk_i  )
32123begin
32124    if (rst_i ==  1'b1)
32125    begin
32126        sign_extend_m <=  1'b0;
32127        size_m <= 2'b00;
32128        byte_enable_m <=  1'b0;
32129        store_data_m <= { 32{1'b0}};
32130
32131
32132
32133
32134
32135
32136
32137
32138
32139
32140
32141
32142
32143        wb_select_m <=  1'b0;
32144    end
32145    else
32146    begin
32147        if (stall_m ==  1'b0)
32148        begin
32149            sign_extend_m <= sign_extend_x;
32150            size_m <= size_x;
32151            byte_enable_m <= byte_enable_x;
32152            store_data_m <= store_data_x;
32153
32154
32155
32156
32157
32158
32159
32160
32161
32162
32163
32164
32165
32166            wb_select_m <= wb_select_x;
32167        end
32168    end
32169end
32170
32171
32172always @(posedge clk_i  )
32173begin
32174    if (rst_i ==  1'b1)
32175    begin
32176        size_w <= 2'b00;
32177        data_w <= { 32{1'b0}};
32178        sign_extend_w <=  1'b0;
32179    end
32180    else
32181    begin
32182        size_w <= size_m;
32183
32184
32185
32186
32187
32188        data_w <= data_m;
32189
32190        sign_extend_w <= sign_extend_m;
32191    end
32192end
32193
32194
32195
32196
32197
32198
32199
32200endmodule
32201
32202
32203
32204
32205
32206
32207
32208
32209
32210
32211
32212
32213
32214
32215
32216
32217
32218
32219
32220
32221
32222
32223
32224
32225
32226
32227
32228
32229
32230
32231
32232
32233
32234
32235
32236
32237
32238
32239
32240
32241
32242
32243
32244
32245
32246
32247
32248
32249
32250
32251
32252
32253
32254
32255
32256
32257
32258
32259
32260
32261
32262
32263
32264
32265
32266
32267
32268
32269
32270
32271
32272
32273
32274
32275
32276
32277
32278
32279
32280
32281
32282
32283
32284
32285
32286
32287
32288
32289
32290
32291
32292
32293
32294
32295
32296
32297
32298
32299
32300
32301
32302
32303
32304
32305
32306
32307
32308
32309
32310
32311
32312
32313
32314
32315
32316
32317
32318
32319
32320
32321
32322
32323
32324
32325
32326
32327
32328
32329
32330
32331
32332
32333
32334
32335
32336
32337
32338
32339
32340
32341
32342
32343
32344
32345
32346
32347
32348
32349
32350
32351
32352
32353
32354
32355
32356
32357
32358
32359
32360
32361
32362
32363
32364
32365
32366
32367
32368
32369
32370
32371
32372
32373
32374
32375
32376
32377
32378
32379
32380
32381
32382
32383
32384
32385
32386
32387
32388
32389
32390
32391
32392
32393
32394
32395
32396
32397
32398
32399
32400
32401
32402
32403
32404
32405
32406
32407
32408
32409
32410
32411
32412
32413
32414
32415
32416
32417
32418
32419
32420
32421
32422
32423
32424
32425
32426
32427
32428
32429
32430
32431
32432
32433
32434
32435
32436
32437
32438
32439
32440
32441
32442
32443
32444
32445
32446
32447
32448
32449
32450
32451
32452
32453
32454
32455
32456
32457
32458
32459
32460
32461
32462
32463
32464
32465
32466
32467
32468
32469
32470
32471
32472
32473
32474
32475
32476
32477
32478
32479
32480
32481
32482
32483
32484
32485
32486
32487
32488
32489
32490
32491
32492
32493
32494
32495
32496
32497
32498
32499
32500
32501
32502
32503
32504
32505
32506
32507
32508
32509
32510
32511
32512
32513
32514
32515
32516
32517
32518
32519
32520
32521
32522
32523
32524
32525
32526
32527
32528
32529
32530
32531
32532
32533
32534
32535
32536
32537
32538
32539
32540
32541
32542
32543
32544
32545
32546
32547
32548
32549
32550
32551
32552
32553
32554
32555
32556
32557
32558
32559
32560
32561
32562
32563
32564
32565
32566
32567
32568
32569
32570
32571
32572
32573
32574
32575
32576
32577
32578
32579
32580
32581
32582
32583
32584
32585
32586
32587
32588
32589
32590
32591
32592
32593
32594
32595
32596
32597
32598
32599
32600
32601
32602
32603
32604
32605
32606
32607
32608
32609
32610
32611
32612
32613
32614
32615
32616
32617
32618
32619
32620
32621
32622
32623
32624
32625
32626
32627
32628
32629
32630
32631
32632
32633
32634
32635
32636
32637
32638
32639
32640
32641
32642
32643
32644
32645
32646
32647
32648
32649
32650
32651
32652
32653
32654
32655
32656
32657
32658
32659
32660
32661
32662
32663
32664
32665module lm32_decoder_medium (
32666
32667    instruction,
32668
32669    d_result_sel_0,
32670    d_result_sel_1,
32671    x_result_sel_csr,
32672
32673
32674
32675
32676
32677
32678
32679
32680
32681
32682    x_result_sel_sext,
32683
32684
32685    x_result_sel_logic,
32686
32687
32688
32689
32690    x_result_sel_add,
32691    m_result_sel_compare,
32692
32693
32694    m_result_sel_shift,
32695
32696
32697    w_result_sel_load,
32698
32699
32700    w_result_sel_mul,
32701
32702
32703    x_bypass_enable,
32704    m_bypass_enable,
32705    read_enable_0,
32706    read_idx_0,
32707    read_enable_1,
32708    read_idx_1,
32709    write_enable,
32710    write_idx,
32711    immediate,
32712    branch_offset,
32713    load,
32714    store,
32715    size,
32716    sign_extend,
32717    adder_op,
32718    logic_op,
32719
32720
32721    direction,
32722
32723
32724
32725
32726
32727
32728
32729
32730
32731
32732
32733
32734
32735
32736
32737
32738    branch,
32739    branch_reg,
32740    condition,
32741    bi_conditional,
32742    bi_unconditional,
32743
32744
32745
32746
32747    scall,
32748    eret,
32749
32750
32751
32752
32753
32754
32755
32756
32757    csr_write_enable
32758    );
32759
32760
32761
32762
32763
32764input [ (32-1):0] instruction;
32765
32766
32767
32768
32769
32770output [ 0:0] d_result_sel_0;
32771reg    [ 0:0] d_result_sel_0;
32772output [ 1:0] d_result_sel_1;
32773reg    [ 1:0] d_result_sel_1;
32774output x_result_sel_csr;
32775reg    x_result_sel_csr;
32776
32777
32778
32779
32780
32781
32782
32783
32784
32785
32786
32787
32788output x_result_sel_sext;
32789reg    x_result_sel_sext;
32790
32791
32792output x_result_sel_logic;
32793reg    x_result_sel_logic;
32794
32795
32796
32797
32798
32799output x_result_sel_add;
32800reg    x_result_sel_add;
32801output m_result_sel_compare;
32802reg    m_result_sel_compare;
32803
32804
32805output m_result_sel_shift;
32806reg    m_result_sel_shift;
32807
32808
32809output w_result_sel_load;
32810reg    w_result_sel_load;
32811
32812
32813output w_result_sel_mul;
32814reg    w_result_sel_mul;
32815
32816
32817output x_bypass_enable;
32818wire   x_bypass_enable;
32819output m_bypass_enable;
32820wire   m_bypass_enable;
32821output read_enable_0;
32822wire   read_enable_0;
32823output [ (5-1):0] read_idx_0;
32824wire   [ (5-1):0] read_idx_0;
32825output read_enable_1;
32826wire   read_enable_1;
32827output [ (5-1):0] read_idx_1;
32828wire   [ (5-1):0] read_idx_1;
32829output write_enable;
32830wire   write_enable;
32831output [ (5-1):0] write_idx;
32832wire   [ (5-1):0] write_idx;
32833output [ (32-1):0] immediate;
32834wire   [ (32-1):0] immediate;
32835output [ ((32-2)+2-1):2] branch_offset;
32836wire   [ ((32-2)+2-1):2] branch_offset;
32837output load;
32838wire   load;
32839output store;
32840wire   store;
32841output [ 1:0] size;
32842wire   [ 1:0] size;
32843output sign_extend;
32844wire   sign_extend;
32845output adder_op;
32846wire   adder_op;
32847output [ 3:0] logic_op;
32848wire   [ 3:0] logic_op;
32849
32850
32851output direction;
32852wire   direction;
32853
32854
32855
32856
32857
32858
32859
32860
32861
32862
32863
32864
32865
32866
32867
32868
32869
32870
32871
32872
32873
32874output branch;
32875wire   branch;
32876output branch_reg;
32877wire   branch_reg;
32878output [ (3-1):0] condition;
32879wire   [ (3-1):0] condition;
32880output bi_conditional;
32881wire bi_conditional;
32882output bi_unconditional;
32883wire bi_unconditional;
32884
32885
32886
32887
32888
32889output scall;
32890wire   scall;
32891output eret;
32892wire   eret;
32893
32894
32895
32896
32897
32898
32899
32900
32901
32902
32903output csr_write_enable;
32904wire   csr_write_enable;
32905
32906
32907
32908
32909
32910wire [ (32-1):0] extended_immediate;
32911wire [ (32-1):0] high_immediate;
32912wire [ (32-1):0] call_immediate;
32913wire [ (32-1):0] branch_immediate;
32914wire sign_extend_immediate;
32915wire select_high_immediate;
32916wire select_call_immediate;
32917
32918wire op_add;
32919wire op_and;
32920wire op_andhi;
32921wire op_b;
32922wire op_bi;
32923wire op_be;
32924wire op_bg;
32925wire op_bge;
32926wire op_bgeu;
32927wire op_bgu;
32928wire op_bne;
32929wire op_call;
32930wire op_calli;
32931wire op_cmpe;
32932wire op_cmpg;
32933wire op_cmpge;
32934wire op_cmpgeu;
32935wire op_cmpgu;
32936wire op_cmpne;
32937
32938
32939
32940
32941wire op_lb;
32942wire op_lbu;
32943wire op_lh;
32944wire op_lhu;
32945wire op_lw;
32946
32947
32948
32949
32950
32951
32952wire op_mul;
32953
32954
32955wire op_nor;
32956wire op_or;
32957wire op_orhi;
32958wire op_raise;
32959wire op_rcsr;
32960wire op_sb;
32961
32962
32963wire op_sextb;
32964wire op_sexth;
32965
32966
32967wire op_sh;
32968
32969
32970wire op_sl;
32971
32972
32973wire op_sr;
32974wire op_sru;
32975wire op_sub;
32976wire op_sw;
32977
32978
32979
32980
32981wire op_wcsr;
32982wire op_xnor;
32983wire op_xor;
32984
32985wire arith;
32986wire logical;
32987wire cmp;
32988wire bra;
32989wire call;
32990
32991
32992wire shift;
32993
32994
32995
32996
32997
32998
32999
33000
33001wire sext;
33002
33003
33004
33005
33006
33007
33008
33009
33010
33011
33012
33013
33014
33015
33016
33017
33018
33019
33020
33021
33022
33023
33024
33025
33026
33027
33028
33029
33030
33031
33032
33033
33034
33035
33036
33037
33038
33039function integer clogb2;
33040input [31:0] value;
33041begin
33042   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
33043        value = value >> 1;
33044end
33045endfunction
33046
33047function integer clogb2_v1;
33048input [31:0] value;
33049reg   [31:0] i;
33050reg   [31:0] temp;
33051begin
33052   temp = 0;
33053   i    = 0;
33054   for (i = 0; temp < value; i = i + 1)
33055	temp = 1<<i;
33056   clogb2_v1 = i-1;
33057end
33058endfunction
33059
33060
33061
33062
33063
33064
33065
33066
33067
33068assign op_add    = instruction[ 30:26] ==  5'b01101;
33069assign op_and    = instruction[ 30:26] ==  5'b01000;
33070assign op_andhi  = instruction[ 31:26] ==  6'b011000;
33071assign op_b      = instruction[ 31:26] ==  6'b110000;
33072assign op_bi     = instruction[ 31:26] ==  6'b111000;
33073assign op_be     = instruction[ 31:26] ==  6'b010001;
33074assign op_bg     = instruction[ 31:26] ==  6'b010010;
33075assign op_bge    = instruction[ 31:26] ==  6'b010011;
33076assign op_bgeu   = instruction[ 31:26] ==  6'b010100;
33077assign op_bgu    = instruction[ 31:26] ==  6'b010101;
33078assign op_bne    = instruction[ 31:26] ==  6'b010111;
33079assign op_call   = instruction[ 31:26] ==  6'b110110;
33080assign op_calli  = instruction[ 31:26] ==  6'b111110;
33081assign op_cmpe   = instruction[ 30:26] ==  5'b11001;
33082assign op_cmpg   = instruction[ 30:26] ==  5'b11010;
33083assign op_cmpge  = instruction[ 30:26] ==  5'b11011;
33084assign op_cmpgeu = instruction[ 30:26] ==  5'b11100;
33085assign op_cmpgu  = instruction[ 30:26] ==  5'b11101;
33086assign op_cmpne  = instruction[ 30:26] ==  5'b11111;
33087
33088
33089
33090
33091assign op_lb     = instruction[ 31:26] ==  6'b000100;
33092assign op_lbu    = instruction[ 31:26] ==  6'b010000;
33093assign op_lh     = instruction[ 31:26] ==  6'b000111;
33094assign op_lhu    = instruction[ 31:26] ==  6'b001011;
33095assign op_lw     = instruction[ 31:26] ==  6'b001010;
33096
33097
33098
33099
33100
33101
33102assign op_mul    = instruction[ 30:26] ==  5'b00010;
33103
33104
33105assign op_nor    = instruction[ 30:26] ==  5'b00001;
33106assign op_or     = instruction[ 30:26] ==  5'b01110;
33107assign op_orhi   = instruction[ 31:26] ==  6'b011110;
33108assign op_raise  = instruction[ 31:26] ==  6'b101011;
33109assign op_rcsr   = instruction[ 31:26] ==  6'b100100;
33110assign op_sb     = instruction[ 31:26] ==  6'b001100;
33111
33112
33113assign op_sextb  = instruction[ 31:26] ==  6'b101100;
33114assign op_sexth  = instruction[ 31:26] ==  6'b110111;
33115
33116
33117assign op_sh     = instruction[ 31:26] ==  6'b000011;
33118
33119
33120assign op_sl     = instruction[ 30:26] ==  5'b01111;
33121
33122
33123assign op_sr     = instruction[ 30:26] ==  5'b00101;
33124assign op_sru    = instruction[ 30:26] ==  5'b00000;
33125assign op_sub    = instruction[ 31:26] ==  6'b110010;
33126assign op_sw     = instruction[ 31:26] ==  6'b010110;
33127
33128
33129
33130
33131assign op_wcsr   = instruction[ 31:26] ==  6'b110100;
33132assign op_xnor   = instruction[ 30:26] ==  5'b01001;
33133assign op_xor    = instruction[ 30:26] ==  5'b00110;
33134
33135
33136assign arith = op_add | op_sub;
33137assign logical = op_and | op_andhi | op_nor | op_or | op_orhi | op_xor | op_xnor;
33138assign cmp = op_cmpe | op_cmpg | op_cmpge | op_cmpgeu | op_cmpgu | op_cmpne;
33139assign bi_conditional = op_be | op_bg | op_bge | op_bgeu  | op_bgu | op_bne;
33140assign bi_unconditional = op_bi;
33141assign bra = op_b | bi_unconditional | bi_conditional;
33142assign call = op_call | op_calli;
33143
33144
33145assign shift = op_sl | op_sr | op_sru;
33146
33147
33148
33149
33150
33151
33152
33153
33154
33155
33156
33157
33158
33159assign sext = op_sextb | op_sexth;
33160
33161
33162
33163
33164
33165
33166
33167
33168
33169
33170
33171assign load = op_lb | op_lbu | op_lh | op_lhu | op_lw;
33172assign store = op_sb | op_sh | op_sw;
33173
33174
33175always @(*)
33176begin
33177
33178    if (call)
33179        d_result_sel_0 =  1'b1;
33180    else
33181        d_result_sel_0 =  1'b0;
33182    if (call)
33183        d_result_sel_1 =  2'b00;
33184    else if ((instruction[31] == 1'b0) && !bra)
33185        d_result_sel_1 =  2'b10;
33186    else
33187        d_result_sel_1 =  2'b01;
33188
33189    x_result_sel_csr =  1'b0;
33190
33191
33192
33193
33194
33195
33196
33197
33198
33199
33200    x_result_sel_sext =  1'b0;
33201
33202
33203    x_result_sel_logic =  1'b0;
33204
33205
33206
33207
33208    x_result_sel_add =  1'b0;
33209    if (op_rcsr)
33210        x_result_sel_csr =  1'b1;
33211
33212
33213
33214
33215
33216
33217
33218
33219
33220
33221
33222
33223
33224
33225
33226
33227
33228
33229
33230
33231
33232
33233    else if (sext)
33234        x_result_sel_sext =  1'b1;
33235
33236
33237    else if (logical)
33238        x_result_sel_logic =  1'b1;
33239
33240
33241
33242
33243
33244    else
33245        x_result_sel_add =  1'b1;
33246
33247
33248
33249    m_result_sel_compare = cmp;
33250
33251
33252    m_result_sel_shift = shift;
33253
33254
33255
33256
33257    w_result_sel_load = load;
33258
33259
33260    w_result_sel_mul = op_mul;
33261
33262
33263end
33264
33265
33266assign x_bypass_enable =  arith
33267                        | logical
33268
33269
33270
33271
33272
33273
33274
33275
33276
33277
33278
33279
33280
33281
33282
33283
33284
33285
33286
33287
33288                        | sext
33289
33290
33291
33292
33293
33294
33295                        | op_rcsr
33296                        ;
33297
33298assign m_bypass_enable = x_bypass_enable
33299
33300
33301                        | shift
33302
33303
33304                        | cmp
33305                        ;
33306
33307assign read_enable_0 = ~(op_bi | op_calli);
33308assign read_idx_0 = instruction[25:21];
33309
33310assign read_enable_1 = ~(op_bi | op_calli | load);
33311assign read_idx_1 = instruction[20:16];
33312
33313assign write_enable = ~(bra | op_raise | store | op_wcsr);
33314assign write_idx = call
33315                    ? 5'd29
33316                    : instruction[31] == 1'b0
33317                        ? instruction[20:16]
33318                        : instruction[15:11];
33319
33320
33321assign size = instruction[27:26];
33322
33323assign sign_extend = instruction[28];
33324
33325assign adder_op = op_sub | op_cmpe | op_cmpg | op_cmpge | op_cmpgeu | op_cmpgu | op_cmpne | bra;
33326
33327assign logic_op = instruction[29:26];
33328
33329
33330
33331assign direction = instruction[29];
33332
33333
33334
33335assign branch = bra | call;
33336assign branch_reg = op_call | op_b;
33337assign condition = instruction[28:26];
33338
33339
33340
33341
33342assign scall = op_raise & instruction[2];
33343assign eret = op_b & (instruction[25:21] == 5'd30);
33344
33345
33346
33347
33348
33349
33350
33351
33352
33353
33354assign csr_write_enable = op_wcsr;
33355
33356
33357
33358assign sign_extend_immediate = ~(op_and | op_cmpgeu | op_cmpgu | op_nor | op_or | op_xnor | op_xor);
33359assign select_high_immediate = op_andhi | op_orhi;
33360assign select_call_immediate = instruction[31];
33361
33362assign high_immediate = {instruction[15:0], 16'h0000};
33363assign extended_immediate = {{16{sign_extend_immediate & instruction[15]}}, instruction[15:0]};
33364assign call_immediate = {{6{instruction[25]}}, instruction[25:0]};
33365assign branch_immediate = {{16{instruction[15]}}, instruction[15:0]};
33366
33367assign immediate = select_high_immediate ==  1'b1
33368                        ? high_immediate
33369                        : extended_immediate;
33370
33371assign branch_offset = select_call_immediate ==  1'b1
33372                        ? (call_immediate[ (32-2)-1:0])
33373                        : (branch_immediate[ (32-2)-1:0]);
33374
33375endmodule
33376
33377
33378
33379
33380
33381
33382
33383
33384
33385
33386
33387
33388
33389
33390
33391
33392
33393
33394
33395
33396
33397
33398
33399
33400
33401
33402
33403
33404
33405
33406
33407
33408
33409
33410
33411
33412
33413
33414
33415
33416
33417
33418
33419
33420
33421
33422
33423
33424
33425
33426
33427
33428
33429
33430
33431
33432
33433
33434
33435
33436
33437
33438
33439
33440
33441
33442
33443
33444
33445
33446
33447
33448
33449
33450
33451
33452
33453
33454
33455
33456
33457
33458
33459
33460
33461
33462
33463
33464
33465
33466
33467
33468
33469
33470
33471
33472
33473
33474
33475
33476
33477
33478
33479
33480
33481
33482
33483
33484
33485
33486
33487
33488
33489
33490
33491
33492
33493
33494
33495
33496
33497
33498
33499
33500
33501
33502
33503
33504
33505
33506
33507
33508
33509
33510
33511
33512
33513
33514
33515
33516
33517
33518
33519
33520
33521
33522
33523
33524
33525
33526
33527
33528
33529
33530
33531
33532
33533
33534
33535
33536
33537
33538
33539
33540
33541
33542
33543
33544
33545
33546
33547
33548
33549
33550
33551
33552
33553
33554
33555
33556
33557
33558
33559
33560
33561
33562
33563
33564
33565
33566
33567
33568
33569
33570
33571
33572
33573
33574
33575
33576
33577
33578
33579
33580
33581
33582
33583
33584
33585
33586
33587
33588
33589
33590
33591
33592
33593
33594
33595
33596
33597
33598
33599
33600
33601
33602
33603
33604
33605
33606
33607
33608
33609
33610
33611
33612
33613
33614
33615
33616
33617
33618
33619
33620
33621
33622
33623
33624
33625
33626
33627
33628
33629
33630
33631
33632
33633
33634
33635
33636
33637
33638
33639
33640
33641
33642
33643
33644
33645
33646
33647
33648
33649
33650
33651
33652
33653
33654
33655
33656
33657
33658
33659
33660
33661
33662
33663
33664
33665
33666
33667
33668
33669
33670
33671
33672
33673
33674
33675
33676
33677
33678
33679
33680
33681
33682
33683
33684
33685
33686
33687
33688
33689
33690
33691
33692
33693
33694
33695
33696
33697
33698
33699
33700
33701
33702
33703
33704
33705
33706
33707
33708
33709
33710
33711
33712
33713
33714
33715
33716
33717
33718
33719
33720
33721
33722
33723
33724
33725
33726
33727
33728
33729
33730
33731
33732
33733
33734
33735
33736
33737
33738
33739
33740
33741
33742
33743
33744
33745
33746
33747
33748
33749
33750
33751
33752
33753
33754
33755
33756
33757
33758
33759
33760
33761
33762
33763
33764
33765
33766
33767
33768
33769
33770
33771
33772
33773
33774
33775
33776
33777
33778
33779
33780
33781
33782
33783
33784
33785
33786
33787
33788
33789
33790
33791
33792
33793
33794
33795
33796
33797
33798
33799
33800
33801
33802
33803
33804
33805
33806
33807
33808
33809
33810
33811
33812
33813
33814
33815
33816
33817
33818
33819
33820
33821
33822
33823
33824
33825
33826
33827
33828
33829
33830
33831
33832
33833
33834
33835
33836
33837
33838
33839
33840
33841
33842
33843
33844
33845
33846
33847
33848
33849
33850
33851
33852
33853
33854
33855
33856
33857
33858
33859
33860
33861
33862
33863
33864
33865
33866
33867
33868
33869
33870
33871
33872
33873
33874
33875
33876
33877
33878
33879
33880
33881
33882
33883
33884
33885
33886
33887
33888
33889
33890
33891
33892
33893
33894
33895
33896
33897
33898
33899
33900
33901
33902
33903
33904
33905
33906
33907
33908
33909
33910
33911
33912
33913
33914
33915
33916
33917
33918
33919
33920
33921
33922
33923
33924
33925
33926
33927
33928
33929
33930
33931
33932
33933
33934
33935
33936
33937
33938
33939
33940
33941
33942
33943
33944
33945
33946
33947
33948
33949
33950
33951
33952
33953
33954
33955
33956
33957
33958
33959
33960
33961
33962
33963
33964
33965
33966
33967
33968
33969
33970
33971
33972
33973
33974
33975
33976
33977
33978
33979
33980
33981
33982
33983
33984
33985
33986
33987
33988
33989
33990
33991
33992
33993
33994
33995
33996
33997
33998
33999
34000
34001
34002
34003
34004
34005
34006
34007
34008
34009
34010
34011
34012
34013
34014
34015
34016
34017
34018
34019
34020
34021
34022
34023
34024
34025
34026
34027
34028
34029
34030
34031
34032
34033
34034
34035
34036
34037
34038
34039
34040
34041
34042
34043
34044
34045
34046
34047
34048
34049
34050
34051
34052
34053
34054
34055
34056
34057
34058
34059
34060
34061
34062
34063
34064
34065
34066
34067
34068
34069
34070
34071
34072
34073
34074
34075
34076
34077
34078
34079
34080
34081
34082
34083
34084
34085
34086
34087
34088
34089
34090
34091
34092
34093
34094
34095
34096
34097
34098
34099
34100
34101
34102
34103
34104
34105
34106
34107
34108
34109
34110
34111
34112
34113
34114
34115
34116
34117
34118
34119
34120
34121
34122
34123
34124
34125
34126
34127
34128
34129
34130
34131
34132
34133
34134
34135
34136
34137
34138
34139
34140
34141
34142
34143
34144
34145
34146
34147
34148
34149
34150
34151
34152
34153
34154
34155
34156
34157
34158
34159
34160
34161
34162
34163
34164
34165
34166
34167
34168
34169
34170
34171
34172
34173
34174
34175
34176
34177
34178
34179
34180
34181
34182
34183
34184
34185
34186
34187
34188
34189
34190
34191
34192
34193
34194
34195
34196
34197
34198
34199
34200
34201
34202
34203
34204
34205
34206
34207
34208
34209
34210
34211
34212
34213
34214
34215
34216
34217
34218
34219
34220
34221
34222
34223
34224
34225
34226
34227
34228
34229
34230
34231
34232
34233
34234
34235
34236
34237
34238
34239
34240
34241
34242
34243
34244
34245
34246
34247
34248
34249
34250
34251
34252
34253
34254
34255
34256
34257
34258
34259
34260
34261
34262
34263
34264
34265
34266
34267
34268
34269
34270
34271
34272
34273
34274
34275
34276
34277
34278
34279
34280
34281
34282
34283
34284
34285
34286
34287
34288
34289
34290
34291
34292
34293
34294
34295
34296
34297
34298
34299
34300
34301
34302
34303
34304
34305
34306
34307
34308
34309
34310
34311
34312
34313
34314
34315
34316
34317
34318
34319
34320
34321
34322
34323
34324
34325
34326
34327
34328
34329
34330
34331
34332
34333
34334
34335
34336
34337
34338
34339
34340
34341
34342
34343
34344
34345
34346
34347
34348
34349
34350
34351
34352
34353
34354
34355
34356
34357
34358
34359
34360
34361
34362
34363
34364
34365
34366
34367
34368
34369
34370
34371
34372
34373
34374
34375
34376
34377
34378
34379
34380
34381
34382
34383
34384
34385
34386
34387
34388
34389
34390
34391
34392
34393
34394
34395
34396
34397
34398
34399
34400
34401
34402
34403
34404
34405
34406
34407
34408
34409
34410
34411
34412
34413
34414
34415
34416
34417
34418
34419
34420
34421
34422
34423
34424
34425
34426
34427
34428
34429
34430
34431
34432
34433
34434
34435
34436
34437
34438
34439
34440
34441
34442
34443
34444
34445
34446
34447
34448
34449
34450
34451
34452
34453
34454
34455
34456
34457
34458
34459
34460
34461
34462
34463
34464
34465
34466
34467
34468
34469
34470
34471
34472
34473
34474
34475
34476
34477
34478
34479
34480
34481
34482
34483
34484
34485
34486
34487
34488
34489
34490
34491
34492
34493
34494
34495
34496
34497
34498
34499
34500
34501
34502
34503
34504
34505
34506
34507
34508
34509
34510
34511
34512
34513
34514
34515
34516
34517
34518
34519
34520
34521
34522
34523
34524
34525
34526
34527
34528
34529
34530
34531
34532
34533
34534
34535
34536
34537
34538
34539
34540
34541
34542
34543
34544
34545
34546
34547
34548
34549
34550
34551
34552
34553
34554
34555
34556
34557
34558
34559
34560
34561
34562
34563
34564
34565
34566
34567
34568
34569
34570
34571
34572
34573
34574
34575
34576
34577
34578
34579
34580
34581
34582
34583
34584
34585
34586
34587
34588
34589
34590
34591
34592
34593
34594
34595
34596
34597
34598
34599
34600
34601
34602
34603
34604
34605
34606
34607
34608
34609
34610
34611
34612
34613
34614
34615
34616
34617
34618
34619
34620
34621
34622
34623
34624
34625
34626
34627
34628
34629
34630
34631
34632
34633
34634
34635
34636
34637
34638
34639
34640
34641
34642
34643
34644
34645
34646
34647
34648
34649
34650
34651
34652
34653
34654
34655
34656
34657
34658
34659
34660
34661
34662
34663
34664
34665
34666
34667
34668
34669
34670
34671
34672
34673
34674
34675
34676
34677
34678
34679
34680
34681
34682
34683
34684
34685
34686
34687
34688
34689
34690
34691
34692
34693
34694
34695
34696
34697
34698
34699
34700
34701
34702
34703
34704
34705
34706
34707
34708
34709
34710
34711
34712
34713
34714
34715
34716
34717
34718
34719
34720
34721
34722
34723
34724
34725
34726
34727
34728
34729
34730
34731
34732
34733
34734
34735
34736
34737
34738
34739
34740
34741
34742
34743
34744
34745
34746
34747
34748
34749
34750
34751
34752
34753
34754
34755
34756
34757
34758
34759
34760
34761
34762
34763
34764
34765
34766
34767
34768
34769
34770
34771
34772
34773
34774
34775
34776
34777
34778
34779
34780
34781
34782
34783
34784
34785
34786
34787
34788
34789
34790
34791
34792
34793
34794
34795
34796
34797
34798
34799
34800
34801
34802
34803
34804
34805
34806
34807
34808
34809
34810
34811
34812
34813
34814
34815
34816
34817
34818
34819
34820
34821
34822
34823
34824
34825
34826
34827
34828
34829
34830
34831
34832
34833
34834
34835
34836
34837
34838
34839
34840
34841
34842
34843
34844
34845
34846
34847
34848
34849
34850
34851
34852
34853
34854
34855
34856
34857
34858
34859
34860
34861
34862
34863
34864
34865
34866
34867
34868
34869
34870
34871
34872
34873
34874
34875
34876
34877
34878
34879
34880
34881
34882
34883
34884
34885
34886
34887
34888
34889
34890
34891
34892
34893
34894
34895
34896
34897
34898
34899
34900
34901
34902
34903
34904
34905
34906
34907
34908
34909
34910
34911
34912
34913
34914
34915
34916
34917
34918
34919
34920
34921
34922
34923
34924
34925
34926
34927
34928
34929
34930
34931
34932
34933
34934
34935
34936
34937
34938
34939
34940
34941
34942
34943
34944
34945
34946
34947
34948
34949
34950
34951
34952
34953
34954
34955
34956
34957
34958
34959
34960
34961
34962
34963
34964
34965
34966
34967
34968
34969
34970
34971
34972
34973
34974
34975
34976
34977
34978
34979
34980
34981
34982
34983
34984
34985
34986
34987
34988
34989
34990
34991
34992
34993
34994
34995
34996
34997
34998
34999
35000
35001
35002
35003
35004
35005
35006
35007
35008
35009
35010
35011
35012
35013
35014
35015
35016
35017
35018
35019
35020
35021
35022
35023
35024
35025
35026
35027
35028
35029
35030
35031
35032
35033
35034
35035
35036
35037
35038
35039
35040
35041
35042
35043
35044
35045
35046
35047
35048
35049
35050
35051
35052
35053
35054
35055
35056
35057
35058
35059
35060
35061
35062
35063
35064
35065
35066
35067
35068
35069
35070
35071
35072
35073
35074
35075
35076
35077
35078
35079
35080
35081
35082
35083
35084
35085
35086
35087
35088
35089
35090
35091
35092
35093
35094
35095
35096
35097
35098
35099
35100
35101
35102
35103
35104
35105
35106
35107
35108
35109
35110
35111
35112
35113
35114
35115
35116
35117
35118
35119
35120
35121
35122
35123
35124
35125
35126
35127
35128
35129
35130
35131
35132
35133
35134
35135
35136
35137
35138
35139
35140
35141
35142
35143
35144
35145
35146
35147
35148
35149
35150
35151
35152
35153
35154
35155
35156
35157
35158
35159
35160
35161
35162
35163
35164
35165
35166
35167
35168
35169
35170
35171
35172
35173
35174
35175
35176
35177
35178
35179
35180
35181
35182
35183
35184
35185
35186
35187
35188
35189
35190
35191
35192
35193
35194
35195
35196
35197
35198
35199
35200
35201
35202
35203
35204
35205
35206
35207
35208
35209
35210
35211
35212
35213
35214
35215
35216
35217
35218
35219
35220
35221
35222
35223
35224
35225
35226
35227
35228
35229
35230
35231
35232
35233
35234
35235
35236
35237
35238
35239
35240
35241
35242
35243
35244
35245
35246
35247
35248
35249
35250
35251
35252
35253
35254
35255
35256
35257
35258
35259
35260
35261
35262
35263
35264
35265
35266
35267
35268
35269
35270
35271
35272
35273
35274
35275
35276
35277
35278
35279
35280
35281
35282
35283
35284
35285
35286
35287
35288
35289
35290
35291
35292
35293
35294
35295
35296
35297
35298
35299
35300
35301
35302
35303
35304
35305
35306
35307
35308
35309
35310
35311
35312
35313
35314
35315
35316
35317
35318
35319
35320
35321
35322
35323
35324
35325
35326
35327
35328
35329
35330
35331
35332
35333
35334
35335
35336
35337
35338
35339
35340
35341
35342
35343
35344
35345
35346
35347
35348
35349
35350
35351
35352
35353
35354
35355
35356
35357
35358
35359
35360
35361
35362
35363
35364
35365
35366
35367
35368
35369
35370
35371
35372
35373
35374
35375
35376
35377
35378
35379
35380
35381
35382
35383
35384
35385
35386
35387
35388
35389
35390
35391
35392
35393
35394
35395
35396
35397
35398
35399
35400
35401
35402
35403
35404
35405
35406
35407
35408
35409
35410
35411
35412
35413
35414
35415
35416
35417
35418
35419
35420
35421
35422
35423
35424
35425
35426
35427
35428
35429
35430
35431
35432
35433
35434
35435
35436
35437
35438
35439
35440
35441
35442
35443
35444
35445
35446
35447
35448
35449
35450
35451
35452
35453
35454
35455
35456
35457
35458
35459
35460
35461
35462
35463
35464
35465
35466
35467
35468
35469
35470
35471
35472
35473
35474
35475
35476
35477
35478
35479
35480
35481
35482
35483
35484
35485
35486
35487
35488
35489
35490
35491
35492
35493
35494
35495
35496
35497
35498
35499
35500
35501
35502
35503
35504
35505
35506
35507
35508
35509
35510
35511
35512
35513
35514
35515
35516
35517
35518
35519
35520
35521
35522
35523
35524
35525
35526
35527
35528
35529
35530
35531
35532
35533
35534
35535
35536
35537
35538
35539
35540
35541
35542
35543
35544
35545
35546
35547
35548
35549
35550
35551
35552
35553
35554
35555
35556
35557
35558
35559
35560
35561
35562
35563
35564
35565
35566
35567
35568
35569
35570
35571
35572
35573
35574
35575
35576
35577
35578
35579
35580
35581
35582
35583
35584
35585
35586
35587
35588
35589
35590
35591
35592
35593
35594
35595
35596
35597
35598
35599
35600
35601
35602
35603
35604
35605
35606
35607
35608
35609
35610
35611
35612
35613
35614
35615
35616
35617
35618
35619
35620
35621
35622
35623
35624
35625
35626
35627
35628
35629
35630
35631
35632
35633
35634
35635
35636
35637
35638
35639
35640
35641
35642
35643
35644
35645
35646
35647
35648
35649
35650
35651
35652
35653
35654
35655
35656
35657
35658
35659
35660
35661
35662
35663
35664
35665
35666
35667
35668
35669
35670
35671
35672
35673
35674
35675
35676
35677
35678
35679
35680
35681
35682
35683
35684
35685
35686
35687
35688
35689
35690
35691
35692
35693
35694
35695
35696
35697
35698
35699
35700
35701
35702
35703
35704
35705
35706
35707
35708
35709
35710
35711
35712
35713
35714
35715
35716
35717
35718
35719
35720
35721
35722
35723
35724
35725
35726
35727
35728
35729
35730
35731
35732
35733
35734
35735
35736
35737
35738
35739
35740
35741
35742
35743
35744
35745
35746
35747
35748
35749
35750
35751
35752
35753
35754
35755
35756
35757
35758
35759
35760
35761
35762
35763
35764
35765
35766
35767
35768
35769
35770
35771
35772
35773
35774
35775
35776
35777
35778
35779
35780
35781
35782
35783
35784
35785
35786
35787
35788
35789
35790
35791
35792
35793
35794
35795
35796
35797
35798
35799
35800
35801
35802
35803
35804
35805
35806
35807
35808
35809
35810
35811
35812
35813
35814
35815
35816
35817
35818
35819
35820
35821
35822
35823
35824
35825
35826
35827
35828
35829
35830
35831
35832
35833
35834
35835
35836
35837
35838
35839
35840
35841
35842
35843
35844
35845
35846
35847
35848
35849
35850
35851
35852
35853
35854
35855
35856
35857
35858
35859
35860
35861
35862
35863
35864
35865
35866
35867
35868
35869
35870
35871
35872
35873
35874
35875
35876
35877
35878
35879
35880
35881
35882
35883
35884
35885
35886
35887
35888
35889
35890
35891
35892
35893
35894
35895
35896
35897
35898
35899
35900
35901
35902
35903
35904
35905
35906
35907
35908
35909
35910
35911
35912
35913
35914
35915
35916
35917
35918
35919
35920
35921
35922
35923
35924
35925
35926
35927
35928
35929
35930
35931
35932
35933
35934
35935
35936
35937
35938
35939
35940
35941
35942
35943
35944
35945
35946
35947
35948
35949
35950
35951
35952
35953
35954
35955
35956
35957
35958
35959
35960
35961
35962
35963
35964
35965
35966
35967
35968
35969
35970
35971
35972
35973
35974
35975
35976
35977
35978
35979
35980
35981
35982
35983
35984
35985
35986
35987
35988
35989
35990
35991
35992
35993
35994
35995
35996
35997
35998
35999
36000
36001
36002
36003
36004
36005
36006
36007
36008
36009
36010
36011
36012
36013
36014
36015
36016
36017
36018
36019
36020
36021
36022
36023
36024
36025
36026
36027
36028
36029
36030
36031
36032
36033
36034
36035
36036
36037
36038
36039
36040
36041
36042
36043
36044
36045
36046
36047
36048
36049
36050
36051
36052
36053
36054
36055
36056
36057
36058
36059
36060
36061
36062
36063
36064
36065
36066
36067
36068
36069
36070
36071
36072
36073
36074
36075
36076
36077
36078
36079module lm32_instruction_unit_medium (
36080
36081    clk_i,
36082    rst_i,
36083
36084    stall_a,
36085    stall_f,
36086    stall_d,
36087    stall_x,
36088    stall_m,
36089    valid_f,
36090    valid_d,
36091    kill_f,
36092    branch_predict_taken_d,
36093    branch_predict_address_d,
36094
36095
36096
36097
36098
36099    exception_m,
36100    branch_taken_m,
36101    branch_mispredict_taken_m,
36102    branch_target_m,
36103
36104
36105
36106
36107
36108
36109
36110
36111
36112
36113
36114
36115
36116    i_dat_i,
36117    i_ack_i,
36118    i_err_i,
36119    i_rty_i,
36120
36121
36122
36123
36124
36125
36126
36127
36128
36129
36130
36131    pc_f,
36132    pc_d,
36133    pc_x,
36134    pc_m,
36135    pc_w,
36136
36137
36138
36139
36140
36141
36142
36143
36144
36145
36146    i_dat_o,
36147    i_adr_o,
36148    i_cyc_o,
36149    i_sel_o,
36150    i_stb_o,
36151    i_we_o,
36152    i_cti_o,
36153    i_lock_o,
36154    i_bte_o,
36155
36156
36157
36158
36159
36160
36161
36162
36163
36164
36165
36166
36167
36168
36169
36170
36171
36172
36173
36174    instruction_f,
36175
36176
36177    instruction_d
36178    );
36179
36180
36181
36182
36183
36184parameter eba_reset =  32'h00000000;
36185parameter associativity = 1;
36186parameter sets = 512;
36187parameter bytes_per_line = 16;
36188parameter base_address = 0;
36189parameter limit = 0;
36190
36191
36192localparam eba_reset_minus_4 = eba_reset - 4;
36193localparam addr_offset_width = bytes_per_line == 4 ? 1 : clogb2(bytes_per_line)-1-2;
36194localparam addr_offset_lsb = 2;
36195localparam addr_offset_msb = (addr_offset_lsb+addr_offset_width-1);
36196
36197
36198
36199
36200
36201
36202
36203
36204
36205
36206
36207
36208input clk_i;
36209input rst_i;
36210
36211input stall_a;
36212input stall_f;
36213input stall_d;
36214input stall_x;
36215input stall_m;
36216input valid_f;
36217input valid_d;
36218input kill_f;
36219
36220input branch_predict_taken_d;
36221input [ ((32-2)+2-1):2] branch_predict_address_d;
36222
36223
36224
36225
36226
36227
36228input exception_m;
36229input branch_taken_m;
36230input branch_mispredict_taken_m;
36231input [ ((32-2)+2-1):2] branch_target_m;
36232
36233
36234
36235
36236
36237
36238
36239
36240
36241
36242
36243
36244
36245
36246
36247input [ (32-1):0] i_dat_i;
36248input i_ack_i;
36249input i_err_i;
36250input i_rty_i;
36251
36252
36253
36254
36255
36256
36257
36258
36259
36260
36261
36262
36263
36264
36265
36266output [ ((32-2)+2-1):2] pc_f;
36267reg    [ ((32-2)+2-1):2] pc_f;
36268output [ ((32-2)+2-1):2] pc_d;
36269reg    [ ((32-2)+2-1):2] pc_d;
36270output [ ((32-2)+2-1):2] pc_x;
36271reg    [ ((32-2)+2-1):2] pc_x;
36272output [ ((32-2)+2-1):2] pc_m;
36273reg    [ ((32-2)+2-1):2] pc_m;
36274output [ ((32-2)+2-1):2] pc_w;
36275reg    [ ((32-2)+2-1):2] pc_w;
36276
36277
36278
36279
36280
36281
36282
36283
36284
36285
36286
36287
36288
36289
36290
36291output [ (32-1):0] i_dat_o;
36292
36293
36294
36295
36296wire   [ (32-1):0] i_dat_o;
36297
36298
36299output [ (32-1):0] i_adr_o;
36300reg    [ (32-1):0] i_adr_o;
36301output i_cyc_o;
36302reg    i_cyc_o;
36303output [ (4-1):0] i_sel_o;
36304
36305
36306
36307
36308wire   [ (4-1):0] i_sel_o;
36309
36310
36311output i_stb_o;
36312reg    i_stb_o;
36313output i_we_o;
36314
36315
36316
36317
36318wire   i_we_o;
36319
36320
36321output [ (3-1):0] i_cti_o;
36322reg    [ (3-1):0] i_cti_o;
36323output i_lock_o;
36324reg    i_lock_o;
36325output [ (2-1):0] i_bte_o;
36326wire   [ (2-1):0] i_bte_o;
36327
36328
36329
36330
36331
36332
36333
36334
36335
36336
36337
36338
36339
36340
36341
36342
36343
36344
36345output [ (32-1):0] instruction_f;
36346wire   [ (32-1):0] instruction_f;
36347
36348
36349output [ (32-1):0] instruction_d;
36350reg    [ (32-1):0] instruction_d;
36351
36352
36353
36354
36355
36356reg [ ((32-2)+2-1):2] pc_a;
36357
36358
36359
36360
36361
36362
36363
36364
36365
36366
36367
36368
36369
36370
36371
36372
36373
36374
36375
36376
36377reg [ (32-1):0] wb_data_f;
36378
36379
36380
36381
36382
36383
36384
36385
36386
36387
36388
36389
36390
36391
36392
36393
36394
36395
36396
36397
36398
36399
36400
36401
36402
36403
36404
36405
36406
36407
36408
36409
36410
36411
36412
36413
36414
36415
36416
36417
36418
36419
36420
36421
36422
36423
36424
36425
36426
36427
36428
36429
36430
36431
36432
36433
36434
36435
36436
36437
36438
36439
36440
36441
36442
36443
36444
36445
36446function integer clogb2;
36447input [31:0] value;
36448begin
36449   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
36450        value = value >> 1;
36451end
36452endfunction
36453
36454function integer clogb2_v1;
36455input [31:0] value;
36456reg   [31:0] i;
36457reg   [31:0] temp;
36458begin
36459   temp = 0;
36460   i    = 0;
36461   for (i = 0; temp < value; i = i + 1)
36462	temp = 1<<i;
36463   clogb2_v1 = i-1;
36464end
36465endfunction
36466
36467
36468
36469
36470
36471
36472
36473
36474
36475
36476
36477
36478
36479
36480
36481
36482
36483
36484
36485
36486
36487
36488
36489
36490
36491
36492
36493
36494
36495
36496
36497
36498
36499
36500
36501
36502
36503
36504
36505
36506
36507
36508
36509
36510
36511
36512
36513
36514
36515
36516
36517
36518
36519
36520
36521
36522
36523
36524
36525
36526
36527always @(*)
36528begin
36529
36530
36531
36532
36533
36534
36535
36536      if (branch_taken_m ==  1'b1)
36537	if ((branch_mispredict_taken_m ==  1'b1) && (exception_m ==  1'b0))
36538	  pc_a = pc_x;
36539	else
36540          pc_a = branch_target_m;
36541
36542
36543
36544
36545
36546      else
36547	if ( (valid_d ==  1'b1) && (branch_predict_taken_d ==  1'b1) )
36548	  pc_a = branch_predict_address_d;
36549	else
36550
36551
36552
36553
36554
36555
36556            pc_a = pc_f + 1'b1;
36557end
36558
36559
36560
36561
36562
36563
36564
36565
36566
36567
36568
36569
36570
36571
36572
36573
36574
36575
36576
36577
36578
36579
36580
36581
36582
36583
36584
36585
36586
36587
36588
36589
36590
36591
36592
36593
36594
36595assign instruction_f = wb_data_f;
36596
36597
36598
36599
36600
36601
36602
36603
36604
36605
36606
36607
36608assign i_dat_o = 32'd0;
36609assign i_we_o =  1'b0;
36610assign i_sel_o = 4'b1111;
36611
36612
36613assign i_bte_o =  2'b00;
36614
36615
36616
36617
36618
36619
36620
36621
36622
36623
36624
36625
36626
36627
36628
36629
36630
36631
36632
36633
36634
36635
36636
36637
36638
36639
36640
36641
36642
36643
36644
36645
36646
36647
36648
36649
36650
36651
36652always @(posedge clk_i  )
36653begin
36654    if (rst_i ==  1'b1)
36655    begin
36656        pc_f <= eba_reset_minus_4[ ((32-2)+2-1):2];
36657        pc_d <= { (32-2){1'b0}};
36658        pc_x <= { (32-2){1'b0}};
36659        pc_m <= { (32-2){1'b0}};
36660        pc_w <= { (32-2){1'b0}};
36661    end
36662    else
36663    begin
36664        if (stall_f ==  1'b0)
36665            pc_f <= pc_a;
36666        if (stall_d ==  1'b0)
36667            pc_d <= pc_f;
36668        if (stall_x ==  1'b0)
36669            pc_x <= pc_d;
36670        if (stall_m ==  1'b0)
36671            pc_m <= pc_x;
36672        pc_w <= pc_m;
36673    end
36674end
36675
36676
36677
36678
36679
36680
36681
36682
36683
36684
36685
36686
36687
36688
36689
36690
36691
36692
36693
36694
36695
36696
36697
36698
36699
36700
36701
36702
36703
36704
36705
36706
36707
36708
36709
36710
36711
36712
36713
36714
36715
36716
36717
36718
36719
36720
36721
36722
36723
36724
36725
36726
36727
36728
36729
36730
36731
36732
36733
36734
36735
36736
36737
36738
36739
36740
36741
36742
36743
36744
36745
36746
36747
36748
36749
36750
36751
36752
36753
36754
36755
36756
36757
36758
36759
36760
36761
36762
36763
36764
36765
36766
36767
36768
36769
36770
36771
36772
36773
36774
36775
36776
36777
36778
36779
36780
36781
36782
36783
36784
36785
36786
36787
36788
36789
36790
36791
36792
36793
36794
36795
36796
36797
36798
36799
36800
36801
36802
36803
36804
36805
36806
36807
36808
36809
36810
36811
36812
36813
36814
36815
36816
36817
36818
36819
36820
36821
36822
36823
36824
36825
36826
36827
36828
36829
36830
36831
36832
36833
36834
36835
36836
36837
36838
36839
36840
36841
36842
36843
36844
36845
36846
36847
36848
36849
36850
36851
36852
36853
36854
36855
36856
36857
36858
36859   always @(posedge clk_i  )
36860     begin
36861	if (rst_i ==  1'b1)
36862	  begin
36863             i_cyc_o <=  1'b0;
36864             i_stb_o <=  1'b0;
36865             i_adr_o <= { 32{1'b0}};
36866             i_cti_o <=  3'b111;
36867             i_lock_o <=  1'b0;
36868             wb_data_f <= { 32{1'b0}};
36869
36870
36871
36872
36873	  end
36874	else
36875	  begin
36876
36877             if (i_cyc_o ==  1'b1)
36878               begin
36879
36880		  if((i_ack_i ==  1'b1) || (i_err_i ==  1'b1))
36881		    begin
36882
36883                       i_cyc_o <=  1'b0;
36884                       i_stb_o <=  1'b0;
36885
36886                       wb_data_f <= i_dat_i;
36887		    end
36888
36889
36890
36891
36892
36893
36894
36895
36896
36897
36898
36899               end
36900             else
36901               begin
36902
36903		  if (   (stall_a ==  1'b0)
36904
36905
36906
36907
36908			 )
36909		    begin
36910
36911
36912
36913
36914
36915                       i_adr_o <= {pc_a, 2'b00};
36916                       i_cyc_o <=  1'b1;
36917                       i_stb_o <=  1'b1;
36918
36919
36920
36921
36922		    end
36923		  else
36924		    begin
36925	               if (   (stall_a ==  1'b0)
36926
36927
36928
36929
36930			      )
36931			 begin
36932
36933
36934
36935
36936			 end
36937		    end
36938               end
36939	  end
36940     end
36941
36942
36943
36944
36945
36946
36947   always @(posedge clk_i  )
36948     begin
36949	if (rst_i ==  1'b1)
36950	  begin
36951             instruction_d <= { 32{1'b0}};
36952
36953
36954
36955
36956	  end
36957	else
36958	  begin
36959             if (stall_d ==  1'b0)
36960               begin
36961		  instruction_d <= instruction_f;
36962
36963
36964
36965
36966               end
36967	  end
36968     end
36969
36970endmodule
36971
36972
36973
36974
36975
36976
36977
36978
36979
36980
36981
36982
36983
36984
36985
36986
36987
36988
36989
36990
36991
36992
36993
36994
36995
36996
36997
36998
36999
37000
37001
37002
37003
37004
37005
37006
37007
37008
37009
37010
37011
37012
37013
37014
37015
37016
37017
37018
37019
37020
37021
37022
37023
37024
37025
37026
37027
37028
37029
37030
37031
37032
37033
37034
37035
37036
37037
37038
37039
37040
37041
37042
37043
37044
37045
37046
37047
37048
37049
37050
37051
37052
37053
37054
37055
37056
37057
37058
37059
37060
37061
37062
37063
37064
37065
37066
37067
37068
37069
37070
37071
37072
37073
37074
37075
37076
37077
37078
37079
37080
37081
37082
37083
37084
37085
37086
37087
37088
37089
37090
37091
37092
37093
37094
37095
37096
37097
37098
37099
37100
37101
37102
37103
37104
37105
37106
37107
37108
37109
37110
37111
37112
37113
37114
37115
37116
37117
37118
37119
37120
37121
37122
37123
37124
37125
37126
37127
37128
37129
37130
37131
37132
37133
37134
37135
37136
37137
37138
37139
37140
37141
37142
37143
37144
37145
37146
37147
37148
37149
37150
37151
37152
37153
37154
37155
37156
37157
37158
37159
37160
37161
37162
37163
37164
37165
37166
37167
37168
37169
37170
37171
37172
37173
37174
37175
37176
37177
37178
37179
37180
37181
37182
37183
37184
37185
37186
37187
37188
37189
37190
37191
37192
37193
37194
37195
37196
37197
37198
37199
37200
37201
37202
37203
37204
37205
37206
37207
37208
37209
37210
37211
37212
37213
37214
37215
37216
37217
37218
37219
37220
37221
37222
37223
37224
37225
37226
37227
37228
37229
37230
37231
37232
37233
37234
37235
37236
37237
37238
37239
37240
37241
37242
37243
37244
37245
37246
37247
37248
37249
37250
37251
37252
37253
37254
37255
37256
37257
37258
37259
37260
37261
37262
37263
37264
37265
37266
37267
37268
37269
37270
37271
37272
37273
37274
37275
37276
37277
37278
37279
37280
37281
37282
37283
37284
37285
37286
37287
37288
37289
37290
37291
37292
37293
37294
37295
37296
37297
37298
37299
37300
37301
37302
37303
37304
37305
37306
37307
37308
37309
37310
37311
37312
37313
37314
37315
37316
37317
37318
37319
37320
37321
37322
37323
37324
37325
37326
37327
37328
37329
37330
37331
37332
37333
37334
37335
37336
37337
37338
37339
37340
37341
37342
37343
37344
37345
37346
37347
37348
37349
37350
37351
37352
37353
37354
37355
37356
37357
37358
37359
37360
37361
37362
37363
37364
37365
37366
37367
37368
37369
37370
37371
37372
37373
37374
37375
37376
37377
37378
37379
37380
37381
37382
37383
37384
37385
37386
37387
37388
37389
37390
37391
37392
37393
37394
37395
37396
37397
37398
37399
37400
37401
37402
37403
37404
37405
37406
37407
37408
37409
37410
37411
37412
37413
37414
37415
37416
37417
37418
37419
37420
37421
37422
37423
37424
37425
37426
37427
37428
37429
37430
37431
37432
37433
37434
37435
37436
37437
37438
37439
37440
37441
37442
37443
37444
37445
37446
37447
37448
37449
37450
37451
37452
37453
37454
37455
37456
37457
37458
37459
37460
37461
37462
37463
37464
37465
37466
37467
37468
37469
37470
37471
37472
37473
37474
37475
37476
37477
37478
37479
37480
37481
37482
37483
37484
37485
37486
37487
37488
37489
37490
37491
37492
37493
37494
37495
37496
37497
37498
37499
37500
37501
37502
37503
37504
37505
37506
37507
37508
37509
37510
37511
37512
37513
37514
37515
37516
37517
37518
37519
37520
37521
37522
37523
37524
37525
37526
37527
37528
37529
37530
37531
37532
37533
37534
37535
37536
37537
37538
37539
37540
37541
37542
37543
37544
37545
37546
37547
37548
37549
37550
37551
37552
37553
37554
37555
37556
37557
37558
37559
37560
37561
37562
37563
37564
37565
37566
37567
37568
37569
37570
37571
37572
37573
37574
37575
37576
37577
37578
37579
37580
37581
37582
37583
37584
37585
37586
37587
37588
37589
37590
37591
37592
37593
37594
37595
37596
37597
37598
37599
37600
37601
37602
37603
37604
37605
37606
37607
37608
37609
37610
37611
37612
37613
37614
37615
37616
37617
37618
37619
37620
37621
37622
37623
37624
37625
37626
37627
37628
37629
37630
37631
37632
37633
37634
37635
37636
37637
37638
37639
37640
37641
37642
37643
37644
37645
37646
37647
37648
37649
37650
37651
37652
37653
37654
37655
37656
37657
37658
37659
37660
37661
37662
37663
37664
37665
37666
37667
37668
37669
37670
37671
37672
37673
37674
37675
37676
37677
37678
37679
37680
37681
37682
37683
37684
37685
37686
37687
37688
37689
37690
37691
37692
37693
37694
37695
37696
37697
37698
37699
37700
37701
37702
37703
37704
37705
37706
37707
37708
37709
37710
37711
37712
37713
37714
37715
37716
37717
37718
37719
37720
37721
37722
37723
37724
37725
37726
37727
37728
37729
37730
37731
37732
37733
37734
37735
37736
37737
37738
37739
37740
37741
37742
37743
37744
37745
37746
37747
37748
37749
37750
37751
37752
37753
37754
37755
37756
37757
37758
37759
37760
37761
37762
37763
37764
37765
37766
37767
37768
37769
37770
37771
37772
37773
37774
37775
37776
37777
37778
37779
37780
37781
37782
37783
37784
37785
37786
37787
37788
37789
37790
37791
37792
37793
37794
37795
37796
37797
37798
37799
37800
37801
37802
37803
37804
37805
37806
37807
37808
37809
37810
37811
37812
37813
37814
37815
37816
37817
37818
37819
37820
37821
37822
37823
37824
37825
37826
37827
37828
37829
37830
37831
37832
37833
37834
37835
37836
37837
37838
37839
37840
37841
37842
37843
37844
37845
37846
37847
37848
37849
37850
37851
37852
37853
37854
37855
37856
37857
37858
37859
37860
37861
37862
37863
37864
37865
37866
37867
37868
37869
37870
37871
37872
37873
37874
37875
37876
37877
37878
37879
37880
37881
37882
37883
37884
37885
37886
37887
37888
37889
37890
37891
37892
37893
37894
37895
37896
37897
37898
37899
37900
37901
37902
37903
37904
37905
37906
37907
37908
37909
37910
37911
37912
37913
37914
37915
37916
37917
37918
37919
37920
37921
37922
37923
37924
37925
37926
37927
37928
37929
37930
37931
37932
37933
37934
37935
37936
37937
37938
37939
37940
37941
37942
37943
37944
37945
37946
37947
37948
37949
37950
37951
37952
37953
37954
37955
37956
37957
37958
37959
37960
37961
37962
37963
37964
37965
37966
37967
37968
37969
37970
37971
37972
37973
37974
37975
37976
37977
37978
37979
37980
37981
37982
37983
37984
37985
37986
37987
37988
37989
37990
37991
37992
37993
37994
37995
37996
37997
37998
37999
38000
38001
38002
38003
38004
38005
38006
38007
38008
38009
38010
38011
38012
38013
38014
38015
38016
38017
38018
38019
38020
38021
38022
38023
38024
38025
38026
38027
38028
38029
38030
38031
38032
38033
38034
38035
38036
38037
38038
38039
38040
38041
38042
38043
38044
38045
38046
38047
38048
38049
38050
38051
38052
38053
38054
38055
38056
38057
38058
38059
38060
38061
38062
38063
38064
38065
38066
38067
38068
38069
38070
38071
38072
38073
38074
38075
38076
38077
38078
38079
38080
38081
38082
38083
38084
38085
38086
38087
38088
38089
38090
38091
38092
38093
38094
38095
38096
38097
38098
38099
38100
38101
38102
38103
38104
38105
38106
38107
38108
38109
38110
38111
38112
38113
38114
38115
38116
38117
38118
38119
38120
38121
38122
38123
38124
38125
38126
38127module lm32_interrupt_medium (
38128
38129    clk_i,
38130    rst_i,
38131
38132    interrupt,
38133
38134    stall_x,
38135
38136
38137
38138
38139
38140    exception,
38141
38142
38143    eret_q_x,
38144
38145
38146
38147
38148    csr,
38149    csr_write_data,
38150    csr_write_enable,
38151
38152    interrupt_exception,
38153
38154    csr_read_data
38155    );
38156
38157
38158
38159
38160
38161parameter interrupts =  32;
38162
38163
38164
38165
38166
38167input clk_i;
38168input rst_i;
38169
38170input [interrupts-1:0] interrupt;
38171
38172input stall_x;
38173
38174
38175
38176
38177
38178
38179input exception;
38180
38181
38182input eret_q_x;
38183
38184
38185
38186
38187
38188input [ (4 -1):0] csr;
38189input [ (32-1):0] csr_write_data;
38190input csr_write_enable;
38191
38192
38193
38194
38195
38196output interrupt_exception;
38197wire   interrupt_exception;
38198
38199output [ (32-1):0] csr_read_data;
38200reg    [ (32-1):0] csr_read_data;
38201
38202
38203
38204
38205
38206wire [interrupts-1:0] asserted;
38207
38208wire [interrupts-1:0] interrupt_n_exception;
38209
38210
38211
38212reg ie;
38213reg eie;
38214
38215
38216
38217
38218reg [interrupts-1:0] ip;
38219reg [interrupts-1:0] im;
38220
38221
38222
38223
38224
38225
38226assign interrupt_n_exception = ip & im;
38227
38228
38229assign interrupt_exception = (|interrupt_n_exception) & ie;
38230
38231
38232assign asserted = ip | interrupt;
38233
38234generate
38235    if (interrupts > 1)
38236    begin
38237
38238always @(*)
38239begin
38240    case (csr)
38241     4 'h0:  csr_read_data = {{ 32-3{1'b0}},
38242
38243
38244
38245
38246                                    1'b0,
38247
38248
38249                                    eie,
38250                                    ie
38251                                   };
38252     4 'h2:  csr_read_data = ip;
38253     4 'h1:  csr_read_data = im;
38254    default:       csr_read_data = { 32{1'bx}};
38255    endcase
38256end
38257    end
38258    else
38259    begin
38260
38261always @(*)
38262begin
38263    case (csr)
38264     4 'h0:  csr_read_data = {{ 32-3{1'b0}},
38265
38266
38267
38268
38269                                    1'b0,
38270
38271
38272                                    eie,
38273                                    ie
38274                                   };
38275     4 'h2:  csr_read_data = ip;
38276    default:       csr_read_data = { 32{1'bx}};
38277      endcase
38278end
38279    end
38280endgenerate
38281
38282
38283
38284
38285
38286
38287
38288   reg [ 10:0] eie_delay  = 0;
38289
38290
38291generate
38292
38293
38294    if (interrupts > 1)
38295    begin
38296
38297always @(posedge clk_i  )
38298  begin
38299    if (rst_i ==  1'b1)
38300    begin
38301        ie                   <=  1'b0;
38302        eie                  <=  1'b0;
38303
38304
38305
38306
38307        im                   <= {interrupts{1'b0}};
38308        ip                   <= {interrupts{1'b0}};
38309       eie_delay             <= 0;
38310
38311    end
38312    else
38313    begin
38314
38315        ip                   <= asserted;
38316
38317
38318
38319
38320
38321
38322
38323
38324
38325
38326
38327
38328
38329
38330
38331        if (exception ==  1'b1)
38332        begin
38333
38334            eie              <= ie;
38335            ie               <=  1'b0;
38336        end
38337
38338
38339        else if (stall_x ==  1'b0)
38340        begin
38341
38342           if(eie_delay[0])
38343             ie              <= eie;
38344
38345           eie_delay         <= {1'b0, eie_delay[ 10:1]};
38346
38347            if (eret_q_x ==  1'b1) begin
38348
38349               eie_delay[ 10] <=  1'b1;
38350               eie_delay[ 10-1:0] <= 0;
38351            end
38352
38353
38354
38355
38356
38357
38358
38359
38360
38361            else if (csr_write_enable ==  1'b1)
38362            begin
38363
38364                if (csr ==  4 'h0)
38365                begin
38366                    ie  <= csr_write_data[0];
38367                    eie <= csr_write_data[1];
38368
38369
38370
38371
38372                end
38373                if (csr ==  4 'h1)
38374                    im  <= csr_write_data[interrupts-1:0];
38375                if (csr ==  4 'h2)
38376                    ip  <= asserted & ~csr_write_data[interrupts-1:0];
38377            end
38378        end
38379    end
38380end
38381    end
38382else
38383    begin
38384
38385always @(posedge clk_i  )
38386  begin
38387    if (rst_i ==  1'b1)
38388    begin
38389        ie              <=  1'b0;
38390        eie             <=  1'b0;
38391
38392
38393
38394
38395        ip              <= {interrupts{1'b0}};
38396       eie_delay        <= 0;
38397    end
38398    else
38399    begin
38400
38401        ip              <= asserted;
38402
38403
38404
38405
38406
38407
38408
38409
38410
38411
38412
38413
38414
38415
38416
38417        if (exception ==  1'b1)
38418        begin
38419
38420            eie         <= ie;
38421            ie          <=  1'b0;
38422        end
38423
38424
38425        else if (stall_x ==  1'b0)
38426          begin
38427
38428             if(eie_delay[0])
38429               ie              <= eie;
38430
38431             eie_delay         <= {1'b0, eie_delay[ 10:1]};
38432
38433             if (eret_q_x ==  1'b1) begin
38434
38435                eie_delay[ 10] <=  1'b1;
38436                eie_delay[ 10-1:0] <= 0;
38437             end
38438
38439
38440
38441
38442
38443
38444
38445            else if (csr_write_enable ==  1'b1)
38446            begin
38447
38448                if (csr ==  4 'h0)
38449                begin
38450                    ie  <= csr_write_data[0];
38451                    eie <= csr_write_data[1];
38452
38453
38454
38455
38456                end
38457                if (csr ==  4 'h2)
38458                    ip  <= asserted & ~csr_write_data[interrupts-1:0];
38459            end
38460        end
38461    end
38462end
38463    end
38464endgenerate
38465
38466endmodule
38467
38468
38469
38470
38471
38472
38473
38474
38475
38476
38477
38478
38479
38480
38481
38482
38483
38484
38485
38486
38487
38488
38489
38490
38491
38492
38493
38494
38495
38496
38497
38498
38499
38500
38501
38502
38503
38504
38505
38506
38507
38508
38509
38510
38511
38512
38513
38514
38515
38516
38517
38518
38519
38520
38521
38522
38523
38524
38525
38526
38527
38528
38529
38530
38531
38532
38533
38534
38535
38536
38537
38538
38539
38540
38541
38542
38543
38544
38545
38546
38547
38548
38549
38550
38551
38552
38553
38554
38555
38556
38557
38558
38559
38560
38561
38562
38563
38564
38565
38566
38567
38568
38569
38570
38571
38572
38573
38574
38575
38576
38577
38578
38579
38580
38581
38582
38583
38584
38585
38586
38587
38588
38589
38590
38591
38592
38593
38594
38595
38596
38597
38598
38599
38600
38601
38602
38603
38604
38605
38606
38607
38608
38609
38610
38611
38612
38613
38614
38615
38616
38617
38618
38619
38620
38621
38622
38623
38624
38625
38626
38627
38628
38629
38630
38631
38632
38633
38634
38635
38636
38637
38638
38639
38640
38641
38642
38643
38644
38645
38646
38647
38648
38649
38650
38651
38652
38653
38654
38655
38656
38657
38658
38659
38660
38661
38662
38663
38664
38665
38666
38667
38668
38669
38670
38671
38672
38673
38674
38675
38676
38677
38678
38679
38680
38681
38682
38683
38684
38685
38686
38687
38688
38689
38690
38691
38692
38693
38694
38695
38696
38697
38698
38699
38700
38701
38702
38703
38704
38705
38706
38707
38708
38709
38710
38711
38712
38713
38714
38715
38716
38717
38718
38719
38720
38721
38722
38723
38724
38725
38726
38727
38728
38729
38730
38731
38732
38733
38734
38735
38736
38737
38738
38739
38740
38741
38742
38743
38744
38745
38746
38747
38748
38749
38750
38751
38752
38753
38754
38755
38756
38757
38758
38759
38760
38761
38762
38763
38764
38765
38766
38767
38768
38769
38770
38771
38772
38773
38774
38775
38776
38777
38778
38779
38780
38781
38782
38783
38784
38785
38786
38787
38788
38789
38790
38791
38792
38793
38794
38795
38796
38797
38798
38799
38800
38801
38802
38803
38804
38805
38806
38807
38808
38809
38810
38811
38812
38813
38814
38815
38816
38817
38818
38819
38820
38821
38822
38823
38824
38825
38826
38827
38828
38829
38830
38831
38832
38833
38834
38835
38836
38837
38838
38839
38840
38841
38842
38843
38844
38845
38846
38847
38848
38849
38850
38851
38852
38853
38854
38855
38856
38857
38858
38859
38860
38861
38862
38863
38864
38865
38866
38867
38868
38869
38870
38871
38872
38873
38874
38875
38876
38877
38878
38879
38880
38881
38882
38883
38884
38885
38886
38887
38888
38889
38890
38891
38892
38893
38894
38895
38896
38897
38898
38899
38900
38901
38902
38903
38904
38905
38906
38907
38908
38909
38910
38911
38912
38913
38914
38915
38916
38917
38918
38919
38920
38921
38922
38923
38924
38925
38926
38927
38928
38929
38930
38931
38932
38933
38934
38935
38936
38937
38938
38939
38940
38941
38942
38943
38944
38945
38946
38947
38948
38949
38950
38951
38952
38953
38954
38955
38956
38957
38958
38959
38960
38961
38962
38963
38964
38965
38966
38967
38968
38969
38970
38971
38972
38973
38974
38975
38976
38977
38978
38979
38980
38981
38982
38983
38984
38985
38986
38987
38988
38989
38990
38991
38992
38993
38994
38995
38996
38997
38998
38999
39000
39001
39002
39003
39004
39005
39006
39007
39008
39009
39010
39011
39012
39013
39014
39015
39016
39017
39018
39019
39020
39021
39022
39023
39024
39025
39026
39027
39028
39029
39030
39031
39032
39033
39034
39035
39036
39037
39038
39039
39040
39041
39042
39043
39044
39045
39046
39047
39048
39049
39050
39051
39052
39053
39054
39055
39056
39057
39058module lm32_top_medium_debug (
39059
39060    clk_i,
39061    rst_i,
39062
39063
39064    interrupt,
39065
39066
39067
39068
39069
39070
39071
39072
39073
39074
39075    I_DAT_I,
39076    I_ACK_I,
39077    I_ERR_I,
39078    I_RTY_I,
39079
39080
39081
39082    D_DAT_I,
39083    D_ACK_I,
39084    D_ERR_I,
39085    D_RTY_I,
39086
39087
39088
39089
39090
39091
39092
39093
39094
39095
39096
39097    I_DAT_O,
39098    I_ADR_O,
39099    I_CYC_O,
39100    I_SEL_O,
39101    I_STB_O,
39102    I_WE_O,
39103    I_CTI_O,
39104    I_LOCK_O,
39105    I_BTE_O,
39106
39107
39108
39109    D_DAT_O,
39110    D_ADR_O,
39111    D_CYC_O,
39112    D_SEL_O,
39113    D_STB_O,
39114    D_WE_O,
39115    D_CTI_O,
39116    D_LOCK_O,
39117    D_BTE_O
39118    );
39119
39120parameter eba_reset = 32'h00000000;
39121parameter sdb_address = 32'h00000000;
39122
39123
39124
39125
39126input clk_i;
39127input rst_i;
39128
39129
39130input [ (32-1):0] interrupt;
39131
39132
39133
39134
39135
39136
39137
39138
39139
39140
39141input [ (32-1):0] I_DAT_I;
39142input I_ACK_I;
39143input I_ERR_I;
39144input I_RTY_I;
39145
39146
39147
39148input [ (32-1):0] D_DAT_I;
39149input D_ACK_I;
39150input D_ERR_I;
39151input D_RTY_I;
39152
39153
39154
39155
39156
39157
39158
39159
39160
39161
39162
39163
39164
39165
39166
39167
39168
39169
39170
39171output [ (32-1):0] I_DAT_O;
39172wire   [ (32-1):0] I_DAT_O;
39173output [ (32-1):0] I_ADR_O;
39174wire   [ (32-1):0] I_ADR_O;
39175output I_CYC_O;
39176wire   I_CYC_O;
39177output [ (4-1):0] I_SEL_O;
39178wire   [ (4-1):0] I_SEL_O;
39179output I_STB_O;
39180wire   I_STB_O;
39181output I_WE_O;
39182wire   I_WE_O;
39183output [ (3-1):0] I_CTI_O;
39184wire   [ (3-1):0] I_CTI_O;
39185output I_LOCK_O;
39186wire   I_LOCK_O;
39187output [ (2-1):0] I_BTE_O;
39188wire   [ (2-1):0] I_BTE_O;
39189
39190
39191
39192output [ (32-1):0] D_DAT_O;
39193wire   [ (32-1):0] D_DAT_O;
39194output [ (32-1):0] D_ADR_O;
39195wire   [ (32-1):0] D_ADR_O;
39196output D_CYC_O;
39197wire   D_CYC_O;
39198output [ (4-1):0] D_SEL_O;
39199wire   [ (4-1):0] D_SEL_O;
39200output D_STB_O;
39201wire   D_STB_O;
39202output D_WE_O;
39203wire   D_WE_O;
39204output [ (3-1):0] D_CTI_O;
39205wire   [ (3-1):0] D_CTI_O;
39206output D_LOCK_O;
39207wire   D_LOCK_O;
39208output [ (2-1):0] D_BTE_O;
39209wire   [ (2-1):0] D_BTE_O;
39210
39211
39212
39213
39214
39215
39216
39217
39218wire [ 7:0] jtag_reg_d;
39219wire [ 7:0] jtag_reg_q;
39220wire jtag_update;
39221wire [2:0] jtag_reg_addr_d;
39222wire [2:0] jtag_reg_addr_q;
39223wire jtck;
39224wire jrstn;
39225
39226
39227
39228
39229
39230
39231
39232
39233
39234
39235
39236
39237
39238
39239
39240
39241
39242
39243
39244
39245
39246
39247
39248
39249
39250
39251
39252
39253
39254
39255
39256
39257
39258
39259
39260
39261
39262
39263
39264
39265
39266
39267
39268
39269
39270
39271
39272
39273
39274
39275
39276function integer clogb2;
39277input [31:0] value;
39278begin
39279   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
39280        value = value >> 1;
39281end
39282endfunction
39283
39284function integer clogb2_v1;
39285input [31:0] value;
39286reg   [31:0] i;
39287reg   [31:0] temp;
39288begin
39289   temp = 0;
39290   i    = 0;
39291   for (i = 0; temp < value; i = i + 1)
39292	temp = 1<<i;
39293   clogb2_v1 = i-1;
39294end
39295endfunction
39296
39297
39298
39299
39300
39301
39302
39303
39304lm32_cpu_medium_debug
39305	#(
39306		.eba_reset(eba_reset),
39307    .sdb_address(sdb_address)
39308	) cpu (
39309
39310    .clk_i                 (clk_i),
39311
39312
39313
39314
39315    .rst_i                 (rst_i),
39316
39317
39318
39319    .interrupt             (interrupt),
39320
39321
39322
39323
39324
39325
39326
39327
39328
39329
39330
39331    .jtag_clk              (jtck),
39332    .jtag_update           (jtag_update),
39333    .jtag_reg_q            (jtag_reg_q),
39334    .jtag_reg_addr_q       (jtag_reg_addr_q),
39335
39336
39337
39338
39339
39340    .I_DAT_I               (I_DAT_I),
39341    .I_ACK_I               (I_ACK_I),
39342    .I_ERR_I               (I_ERR_I),
39343    .I_RTY_I               (I_RTY_I),
39344
39345
39346
39347    .D_DAT_I               (D_DAT_I),
39348    .D_ACK_I               (D_ACK_I),
39349    .D_ERR_I               (D_ERR_I),
39350    .D_RTY_I               (D_RTY_I),
39351
39352
39353
39354
39355
39356
39357
39358
39359
39360
39361
39362
39363
39364
39365    .jtag_reg_d            (jtag_reg_d),
39366    .jtag_reg_addr_d       (jtag_reg_addr_d),
39367
39368
39369
39370
39371
39372
39373
39374
39375
39376
39377
39378
39379    .I_DAT_O               (I_DAT_O),
39380    .I_ADR_O               (I_ADR_O),
39381    .I_CYC_O               (I_CYC_O),
39382    .I_SEL_O               (I_SEL_O),
39383    .I_STB_O               (I_STB_O),
39384    .I_WE_O                (I_WE_O),
39385    .I_CTI_O               (I_CTI_O),
39386    .I_LOCK_O              (I_LOCK_O),
39387    .I_BTE_O               (I_BTE_O),
39388
39389
39390
39391    .D_DAT_O               (D_DAT_O),
39392    .D_ADR_O               (D_ADR_O),
39393    .D_CYC_O               (D_CYC_O),
39394    .D_SEL_O               (D_SEL_O),
39395    .D_STB_O               (D_STB_O),
39396    .D_WE_O                (D_WE_O),
39397    .D_CTI_O               (D_CTI_O),
39398    .D_LOCK_O              (D_LOCK_O),
39399    .D_BTE_O               (D_BTE_O)
39400    );
39401
39402
39403
39404
39405jtag_cores jtag_cores (
39406
39407    .reg_d                 (jtag_reg_d),
39408    .reg_addr_d            (jtag_reg_addr_d),
39409
39410    .reg_update            (jtag_update),
39411    .reg_q                 (jtag_reg_q),
39412    .reg_addr_q            (jtag_reg_addr_q),
39413    .jtck                  (jtck),
39414    .jrstn                 (jrstn)
39415    );
39416
39417
39418
39419endmodule
39420
39421
39422
39423
39424
39425
39426
39427
39428
39429
39430
39431
39432
39433
39434
39435
39436
39437
39438
39439
39440
39441
39442
39443
39444
39445
39446
39447
39448
39449
39450
39451
39452
39453
39454
39455
39456
39457
39458
39459
39460
39461
39462
39463
39464
39465
39466
39467
39468
39469
39470
39471
39472
39473
39474
39475
39476
39477
39478
39479
39480
39481
39482
39483
39484
39485
39486
39487
39488
39489
39490
39491
39492
39493
39494
39495
39496
39497
39498
39499
39500
39501
39502
39503
39504
39505
39506
39507
39508
39509
39510
39511
39512
39513
39514
39515
39516
39517
39518
39519
39520
39521
39522
39523
39524
39525
39526
39527
39528
39529
39530
39531
39532
39533
39534
39535
39536
39537
39538
39539
39540
39541
39542
39543
39544
39545
39546
39547
39548
39549
39550
39551
39552
39553
39554
39555
39556
39557
39558
39559
39560
39561
39562
39563
39564
39565
39566
39567
39568
39569
39570
39571
39572
39573
39574
39575
39576
39577
39578
39579
39580
39581
39582
39583
39584
39585
39586
39587
39588
39589
39590
39591
39592
39593
39594
39595
39596
39597
39598
39599
39600
39601
39602
39603
39604
39605
39606
39607
39608
39609
39610
39611
39612
39613
39614
39615
39616
39617
39618
39619
39620
39621
39622
39623
39624
39625
39626
39627
39628
39629
39630
39631
39632
39633
39634
39635
39636
39637
39638
39639
39640
39641
39642
39643
39644
39645
39646
39647
39648
39649
39650
39651
39652
39653
39654
39655
39656
39657
39658
39659
39660
39661
39662
39663
39664
39665
39666
39667
39668
39669
39670
39671
39672
39673
39674
39675
39676
39677
39678
39679
39680
39681
39682
39683
39684
39685
39686
39687
39688
39689
39690
39691
39692
39693
39694
39695
39696
39697
39698
39699
39700
39701
39702
39703
39704
39705
39706
39707
39708
39709
39710
39711
39712
39713
39714
39715
39716
39717
39718
39719
39720
39721
39722
39723
39724
39725
39726
39727
39728
39729
39730
39731
39732
39733
39734
39735
39736
39737
39738
39739
39740
39741
39742
39743
39744
39745
39746
39747
39748
39749
39750
39751
39752
39753
39754
39755
39756
39757
39758
39759
39760
39761
39762
39763
39764
39765
39766
39767
39768
39769
39770
39771
39772
39773
39774
39775
39776
39777
39778
39779
39780
39781
39782
39783
39784
39785
39786
39787
39788
39789
39790
39791
39792
39793
39794
39795module lm32_mc_arithmetic_medium_debug (
39796
39797    clk_i,
39798    rst_i,
39799    stall_d,
39800    kill_x,
39801
39802
39803
39804
39805
39806
39807
39808
39809
39810
39811
39812
39813
39814
39815
39816    operand_0_d,
39817    operand_1_d,
39818
39819    result_x,
39820
39821
39822
39823
39824    stall_request_x
39825    );
39826
39827
39828
39829
39830
39831input clk_i;
39832input rst_i;
39833input stall_d;
39834input kill_x;
39835
39836
39837
39838
39839
39840
39841
39842
39843
39844
39845
39846
39847
39848
39849
39850input [ (32-1):0] operand_0_d;
39851input [ (32-1):0] operand_1_d;
39852
39853
39854
39855
39856
39857output [ (32-1):0] result_x;
39858reg    [ (32-1):0] result_x;
39859
39860
39861
39862
39863
39864output stall_request_x;
39865wire   stall_request_x;
39866
39867
39868
39869
39870
39871reg [ (32-1):0] p;
39872reg [ (32-1):0] a;
39873reg [ (32-1):0] b;
39874
39875
39876
39877
39878
39879reg [ 2:0] state;
39880reg [5:0] cycles;
39881
39882
39883
39884
39885
39886
39887
39888
39889
39890
39891
39892
39893assign stall_request_x = state !=  3'b000;
39894
39895
39896
39897
39898
39899
39900
39901
39902
39903
39904
39905
39906
39907
39908
39909
39910
39911
39912always @(posedge clk_i  )
39913begin
39914    if (rst_i ==  1'b1)
39915    begin
39916        cycles <= {6{1'b0}};
39917        p <= { 32{1'b0}};
39918        a <= { 32{1'b0}};
39919        b <= { 32{1'b0}};
39920
39921
39922
39923
39924
39925
39926
39927
39928        result_x <= { 32{1'b0}};
39929        state <=  3'b000;
39930    end
39931    else
39932    begin
39933
39934
39935
39936
39937        case (state)
39938         3'b000:
39939        begin
39940            if (stall_d ==  1'b0)
39941            begin
39942                cycles <=  32;
39943                p <= 32'b0;
39944                a <= operand_0_d;
39945                b <= operand_1_d;
39946
39947
39948
39949
39950
39951
39952
39953
39954
39955
39956
39957
39958
39959
39960
39961
39962
39963
39964
39965
39966
39967
39968
39969
39970
39971
39972
39973
39974
39975
39976
39977            end
39978        end
39979
39980
39981
39982
39983
39984
39985
39986
39987
39988
39989
39990
39991
39992
39993
39994
39995
39996
39997
39998
39999
40000
40001
40002
40003
40004
40005
40006
40007
40008
40009
40010
40011
40012
40013
40014
40015
40016
40017
40018
40019
40020
40021
40022
40023
40024
40025
40026
40027
40028
40029
40030
40031
40032
40033
40034
40035
40036
40037
40038
40039
40040
40041
40042
40043
40044
40045
40046
40047
40048
40049
40050
40051
40052
40053
40054
40055
40056
40057        endcase
40058    end
40059end
40060
40061endmodule
40062
40063
40064
40065
40066
40067
40068
40069
40070
40071
40072
40073
40074
40075
40076
40077
40078
40079
40080
40081
40082
40083
40084
40085
40086
40087
40088
40089
40090
40091
40092
40093
40094
40095
40096
40097
40098
40099
40100
40101
40102
40103
40104
40105
40106
40107
40108
40109
40110
40111
40112
40113
40114
40115
40116
40117
40118
40119
40120
40121
40122
40123
40124
40125
40126
40127
40128
40129
40130
40131
40132
40133
40134
40135
40136
40137
40138
40139
40140
40141
40142
40143
40144
40145
40146
40147
40148
40149
40150
40151
40152
40153
40154
40155
40156
40157
40158
40159
40160
40161
40162
40163
40164
40165
40166
40167
40168
40169
40170
40171
40172
40173
40174
40175
40176
40177
40178
40179
40180
40181
40182
40183
40184
40185
40186
40187
40188
40189
40190
40191
40192
40193
40194
40195
40196
40197
40198
40199
40200
40201
40202
40203
40204
40205
40206
40207
40208
40209
40210
40211
40212
40213
40214
40215
40216
40217
40218
40219
40220
40221
40222
40223
40224
40225
40226
40227
40228
40229
40230
40231
40232
40233
40234
40235
40236
40237
40238
40239
40240
40241
40242
40243
40244
40245
40246
40247
40248
40249
40250
40251
40252
40253
40254
40255
40256
40257
40258
40259
40260
40261
40262
40263
40264
40265
40266
40267
40268
40269
40270
40271
40272
40273
40274
40275
40276
40277
40278
40279
40280
40281
40282
40283
40284
40285
40286
40287
40288
40289
40290
40291
40292
40293
40294
40295
40296
40297
40298
40299
40300
40301
40302
40303
40304
40305
40306
40307
40308
40309
40310
40311
40312
40313
40314
40315
40316
40317
40318
40319
40320
40321
40322
40323
40324
40325
40326
40327
40328
40329
40330
40331
40332
40333
40334
40335
40336
40337
40338
40339
40340
40341
40342
40343
40344
40345
40346
40347
40348
40349
40350
40351
40352
40353
40354
40355
40356
40357
40358
40359
40360
40361
40362
40363
40364
40365
40366
40367
40368
40369
40370
40371
40372
40373
40374
40375
40376
40377
40378
40379
40380
40381
40382
40383
40384
40385
40386
40387
40388
40389
40390
40391
40392
40393
40394
40395
40396
40397
40398
40399
40400
40401
40402
40403
40404
40405
40406
40407
40408
40409
40410
40411
40412
40413
40414
40415
40416
40417
40418
40419
40420
40421
40422
40423
40424
40425
40426
40427
40428
40429
40430
40431
40432
40433
40434
40435
40436
40437
40438
40439
40440
40441
40442
40443
40444
40445
40446
40447
40448
40449
40450
40451
40452
40453
40454
40455
40456
40457
40458module lm32_cpu_medium_debug (
40459
40460    clk_i,
40461
40462
40463
40464
40465    rst_i,
40466
40467
40468
40469
40470
40471
40472
40473
40474
40475
40476
40477
40478
40479
40480
40481
40482
40483    interrupt,
40484
40485
40486
40487
40488
40489
40490
40491
40492
40493
40494
40495    jtag_clk,
40496    jtag_update,
40497    jtag_reg_q,
40498    jtag_reg_addr_q,
40499
40500
40501
40502
40503
40504    I_DAT_I,
40505    I_ACK_I,
40506    I_ERR_I,
40507    I_RTY_I,
40508
40509
40510
40511    D_DAT_I,
40512    D_ACK_I,
40513    D_ERR_I,
40514    D_RTY_I,
40515
40516
40517
40518
40519
40520
40521
40522
40523
40524
40525
40526
40527
40528
40529    jtag_reg_d,
40530    jtag_reg_addr_d,
40531
40532
40533
40534
40535
40536
40537
40538
40539
40540
40541
40542
40543    I_DAT_O,
40544    I_ADR_O,
40545    I_CYC_O,
40546    I_SEL_O,
40547    I_STB_O,
40548    I_WE_O,
40549    I_CTI_O,
40550    I_LOCK_O,
40551    I_BTE_O,
40552
40553
40554
40555
40556
40557
40558
40559
40560
40561
40562
40563
40564
40565
40566
40567
40568
40569    D_DAT_O,
40570    D_ADR_O,
40571    D_CYC_O,
40572    D_SEL_O,
40573    D_STB_O,
40574    D_WE_O,
40575    D_CTI_O,
40576    D_LOCK_O,
40577    D_BTE_O
40578
40579
40580    );
40581
40582
40583
40584
40585
40586parameter eba_reset =  32'h00000000;
40587
40588
40589parameter deba_reset =  32'h10000000;
40590
40591
40592parameter sdb_address =   32'h00000000;
40593
40594
40595
40596parameter icache_associativity =  1;
40597parameter icache_sets =  256;
40598parameter icache_bytes_per_line =  16;
40599parameter icache_base_address =  32'h0;
40600parameter icache_limit =  32'h7fffffff;
40601
40602
40603
40604
40605
40606
40607
40608
40609
40610
40611
40612
40613
40614
40615
40616
40617
40618parameter dcache_associativity = 1;
40619parameter dcache_sets = 512;
40620parameter dcache_bytes_per_line = 16;
40621parameter dcache_base_address = 0;
40622parameter dcache_limit = 0;
40623
40624
40625
40626
40627
40628parameter watchpoints =  32'h4;
40629
40630
40631
40632
40633
40634
40635
40636
40637parameter breakpoints = 0;
40638
40639
40640
40641
40642
40643parameter interrupts =  32;
40644
40645
40646
40647
40648
40649
40650
40651
40652
40653input clk_i;
40654
40655
40656
40657
40658input rst_i;
40659
40660
40661
40662input [ (32-1):0] interrupt;
40663
40664
40665
40666
40667
40668
40669
40670
40671
40672
40673
40674input jtag_clk;
40675input jtag_update;
40676input [ 7:0] jtag_reg_q;
40677input [2:0] jtag_reg_addr_q;
40678
40679
40680
40681
40682
40683input [ (32-1):0] I_DAT_I;
40684input I_ACK_I;
40685input I_ERR_I;
40686input I_RTY_I;
40687
40688
40689
40690input [ (32-1):0] D_DAT_I;
40691input D_ACK_I;
40692input D_ERR_I;
40693input D_RTY_I;
40694
40695
40696
40697
40698
40699
40700
40701
40702
40703
40704
40705
40706
40707
40708
40709
40710
40711
40712
40713
40714
40715
40716
40717
40718
40719
40720
40721
40722
40723
40724
40725
40726output [ 7:0] jtag_reg_d;
40727wire   [ 7:0] jtag_reg_d;
40728output [2:0] jtag_reg_addr_d;
40729wire   [2:0] jtag_reg_addr_d;
40730
40731
40732
40733
40734
40735
40736
40737
40738
40739
40740
40741
40742
40743
40744
40745
40746
40747output [ (32-1):0] I_DAT_O;
40748wire   [ (32-1):0] I_DAT_O;
40749output [ (32-1):0] I_ADR_O;
40750wire   [ (32-1):0] I_ADR_O;
40751output I_CYC_O;
40752wire   I_CYC_O;
40753output [ (4-1):0] I_SEL_O;
40754wire   [ (4-1):0] I_SEL_O;
40755output I_STB_O;
40756wire   I_STB_O;
40757output I_WE_O;
40758wire   I_WE_O;
40759output [ (3-1):0] I_CTI_O;
40760wire   [ (3-1):0] I_CTI_O;
40761output I_LOCK_O;
40762wire   I_LOCK_O;
40763output [ (2-1):0] I_BTE_O;
40764wire   [ (2-1):0] I_BTE_O;
40765
40766
40767
40768output [ (32-1):0] D_DAT_O;
40769wire   [ (32-1):0] D_DAT_O;
40770output [ (32-1):0] D_ADR_O;
40771wire   [ (32-1):0] D_ADR_O;
40772output D_CYC_O;
40773wire   D_CYC_O;
40774output [ (4-1):0] D_SEL_O;
40775wire   [ (4-1):0] D_SEL_O;
40776output D_STB_O;
40777wire   D_STB_O;
40778output D_WE_O;
40779wire   D_WE_O;
40780output [ (3-1):0] D_CTI_O;
40781wire   [ (3-1):0] D_CTI_O;
40782output D_LOCK_O;
40783wire   D_LOCK_O;
40784output [ (2-1):0] D_BTE_O;
40785wire   [ (2-1):0] D_BTE_O;
40786
40787
40788
40789
40790
40791
40792
40793
40794
40795
40796
40797
40798
40799
40800
40801
40802
40803
40804reg valid_a;
40805
40806
40807reg valid_f;
40808reg valid_d;
40809reg valid_x;
40810reg valid_m;
40811reg valid_w;
40812
40813wire q_x;
40814wire [ (32-1):0] immediate_d;
40815wire load_d;
40816reg load_x;
40817reg load_m;
40818wire load_q_x;
40819wire store_q_x;
40820wire q_m;
40821wire load_q_m;
40822wire store_q_m;
40823wire store_d;
40824reg store_x;
40825reg store_m;
40826wire [ 1:0] size_d;
40827reg [ 1:0] size_x;
40828wire branch_d;
40829wire branch_predict_d;
40830wire branch_predict_taken_d;
40831wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_predict_address_d;
40832wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_target_d;
40833wire bi_unconditional;
40834wire bi_conditional;
40835reg branch_x;
40836reg branch_predict_x;
40837reg branch_predict_taken_x;
40838reg branch_m;
40839reg branch_predict_m;
40840reg branch_predict_taken_m;
40841wire branch_mispredict_taken_m;
40842wire branch_flushX_m;
40843wire branch_reg_d;
40844wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_offset_d;
40845reg [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_target_x;
40846reg [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_target_m;
40847wire [ 0:0] d_result_sel_0_d;
40848wire [ 1:0] d_result_sel_1_d;
40849
40850wire x_result_sel_csr_d;
40851reg x_result_sel_csr_x;
40852
40853
40854
40855
40856
40857
40858
40859
40860
40861
40862
40863
40864
40865wire x_result_sel_sext_d;
40866reg x_result_sel_sext_x;
40867
40868
40869wire x_result_sel_logic_d;
40870
40871
40872
40873
40874
40875wire x_result_sel_add_d;
40876reg x_result_sel_add_x;
40877wire m_result_sel_compare_d;
40878reg m_result_sel_compare_x;
40879reg m_result_sel_compare_m;
40880
40881
40882wire m_result_sel_shift_d;
40883reg m_result_sel_shift_x;
40884reg m_result_sel_shift_m;
40885
40886
40887wire w_result_sel_load_d;
40888reg w_result_sel_load_x;
40889reg w_result_sel_load_m;
40890reg w_result_sel_load_w;
40891
40892
40893wire w_result_sel_mul_d;
40894reg w_result_sel_mul_x;
40895reg w_result_sel_mul_m;
40896reg w_result_sel_mul_w;
40897
40898
40899wire x_bypass_enable_d;
40900reg x_bypass_enable_x;
40901wire m_bypass_enable_d;
40902reg m_bypass_enable_x;
40903reg m_bypass_enable_m;
40904wire sign_extend_d;
40905reg sign_extend_x;
40906wire write_enable_d;
40907reg write_enable_x;
40908wire write_enable_q_x;
40909reg write_enable_m;
40910wire write_enable_q_m;
40911reg write_enable_w;
40912wire write_enable_q_w;
40913wire read_enable_0_d;
40914wire [ (5-1):0] read_idx_0_d;
40915wire read_enable_1_d;
40916wire [ (5-1):0] read_idx_1_d;
40917wire [ (5-1):0] write_idx_d;
40918reg [ (5-1):0] write_idx_x;
40919reg [ (5-1):0] write_idx_m;
40920reg [ (5-1):0] write_idx_w;
40921wire [ (5-1):0] csr_d;
40922reg  [ (5-1):0] csr_x;
40923wire [ (3-1):0] condition_d;
40924reg [ (3-1):0] condition_x;
40925
40926
40927wire break_d;
40928reg break_x;
40929
40930
40931wire scall_d;
40932reg scall_x;
40933wire eret_d;
40934reg eret_x;
40935wire eret_q_x;
40936
40937
40938
40939
40940
40941
40942
40943wire bret_d;
40944reg bret_x;
40945wire bret_q_x;
40946
40947
40948
40949
40950
40951
40952
40953wire csr_write_enable_d;
40954reg csr_write_enable_x;
40955wire csr_write_enable_q_x;
40956
40957
40958
40959
40960
40961
40962
40963
40964
40965
40966
40967
40968
40969reg [ (32-1):0] d_result_0;
40970reg [ (32-1):0] d_result_1;
40971reg [ (32-1):0] x_result;
40972reg [ (32-1):0] m_result;
40973reg [ (32-1):0] w_result;
40974
40975reg [ (32-1):0] operand_0_x;
40976reg [ (32-1):0] operand_1_x;
40977reg [ (32-1):0] store_operand_x;
40978reg [ (32-1):0] operand_m;
40979reg [ (32-1):0] operand_w;
40980
40981
40982
40983
40984reg [ (32-1):0] reg_data_live_0;
40985reg [ (32-1):0] reg_data_live_1;
40986reg use_buf;
40987reg [ (32-1):0] reg_data_buf_0;
40988reg [ (32-1):0] reg_data_buf_1;
40989
40990
40991
40992
40993
40994
40995
40996
40997wire [ (32-1):0] reg_data_0;
40998wire [ (32-1):0] reg_data_1;
40999reg [ (32-1):0] bypass_data_0;
41000reg [ (32-1):0] bypass_data_1;
41001wire reg_write_enable_q_w;
41002
41003reg interlock;
41004
41005wire stall_a;
41006wire stall_f;
41007wire stall_d;
41008wire stall_x;
41009wire stall_m;
41010
41011
41012wire adder_op_d;
41013reg adder_op_x;
41014reg adder_op_x_n;
41015wire [ (32-1):0] adder_result_x;
41016wire adder_overflow_x;
41017wire adder_carry_n_x;
41018
41019
41020wire [ 3:0] logic_op_d;
41021reg [ 3:0] logic_op_x;
41022wire [ (32-1):0] logic_result_x;
41023
41024
41025
41026
41027wire [ (32-1):0] sextb_result_x;
41028wire [ (32-1):0] sexth_result_x;
41029wire [ (32-1):0] sext_result_x;
41030
41031
41032
41033
41034
41035
41036
41037
41038
41039
41040
41041wire direction_d;
41042reg direction_x;
41043wire [ (32-1):0] shifter_result_m;
41044
41045
41046
41047
41048
41049
41050
41051
41052
41053
41054
41055
41056
41057
41058
41059
41060
41061wire [ (32-1):0] multiplier_result_w;
41062
41063
41064
41065
41066
41067
41068
41069
41070
41071
41072
41073
41074
41075
41076
41077
41078
41079
41080
41081
41082
41083
41084
41085
41086
41087
41088
41089
41090wire [ (32-1):0] interrupt_csr_read_data_x;
41091
41092
41093wire [ (32-1):0] cfg;
41094wire [ (32-1):0] cfg2;
41095
41096
41097
41098
41099reg [ (32-1):0] csr_read_data_x;
41100
41101
41102wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_f;
41103wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_d;
41104wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_x;
41105wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_m;
41106wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_w;
41107
41108
41109
41110
41111
41112
41113wire [ (32-1):0] instruction_f;
41114
41115
41116
41117
41118wire [ (32-1):0] instruction_d;
41119
41120
41121wire iflush;
41122wire icache_stall_request;
41123wire icache_restart_request;
41124wire icache_refill_request;
41125wire icache_refilling;
41126
41127
41128
41129
41130
41131
41132
41133
41134
41135
41136
41137
41138
41139wire [ (32-1):0] load_data_w;
41140wire stall_wb_load;
41141
41142
41143
41144
41145
41146
41147wire [ (32-1):0] jtx_csr_read_data;
41148wire [ (32-1):0] jrx_csr_read_data;
41149
41150
41151
41152
41153wire jtag_csr_write_enable;
41154wire [ (32-1):0] jtag_csr_write_data;
41155wire [ (5-1):0] jtag_csr;
41156wire jtag_read_enable;
41157wire [ 7:0] jtag_read_data;
41158wire jtag_write_enable;
41159wire [ 7:0] jtag_write_data;
41160wire [ (32-1):0] jtag_address;
41161wire jtag_access_complete;
41162
41163
41164
41165
41166wire jtag_break;
41167
41168
41169
41170
41171
41172
41173wire raw_x_0;
41174wire raw_x_1;
41175wire raw_m_0;
41176wire raw_m_1;
41177wire raw_w_0;
41178wire raw_w_1;
41179
41180
41181wire cmp_zero;
41182wire cmp_negative;
41183wire cmp_overflow;
41184wire cmp_carry_n;
41185reg condition_met_x;
41186reg condition_met_m;
41187
41188
41189
41190
41191wire branch_taken_m;
41192
41193wire kill_f;
41194wire kill_d;
41195wire kill_x;
41196wire kill_m;
41197wire kill_w;
41198
41199reg [ (clogb2(32'h7fffffff-32'h0)-2)+2-1:8] eba;
41200
41201
41202reg [ (clogb2(32'h7fffffff-32'h0)-2)+2-1:8] deba;
41203
41204
41205reg [ (3-1):0] eid_x;
41206
41207
41208
41209
41210
41211
41212
41213
41214
41215
41216wire dc_ss;
41217
41218
41219wire dc_re;
41220wire bp_match;
41221wire wp_match;
41222wire exception_x;
41223reg exception_m;
41224wire debug_exception_x;
41225reg debug_exception_m;
41226reg debug_exception_w;
41227wire debug_exception_q_w;
41228wire non_debug_exception_x;
41229reg non_debug_exception_m;
41230reg non_debug_exception_w;
41231wire non_debug_exception_q_w;
41232
41233
41234
41235
41236
41237
41238
41239
41240
41241
41242
41243
41244wire reset_exception;
41245
41246
41247
41248
41249
41250
41251
41252
41253
41254
41255wire interrupt_exception;
41256
41257
41258
41259
41260wire breakpoint_exception;
41261wire watchpoint_exception;
41262
41263
41264
41265
41266
41267
41268
41269
41270
41271
41272
41273
41274
41275wire system_call_exception;
41276
41277
41278
41279
41280
41281
41282
41283
41284
41285
41286
41287
41288
41289
41290
41291
41292
41293
41294
41295
41296
41297
41298
41299
41300
41301
41302
41303
41304
41305
41306
41307
41308
41309
41310
41311
41312
41313
41314
41315
41316
41317
41318
41319
41320
41321
41322
41323
41324
41325
41326
41327
41328
41329
41330
41331
41332
41333
41334
41335
41336
41337
41338
41339
41340function integer clogb2;
41341input [31:0] value;
41342begin
41343   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
41344        value = value >> 1;
41345end
41346endfunction
41347
41348function integer clogb2_v1;
41349input [31:0] value;
41350reg   [31:0] i;
41351reg   [31:0] temp;
41352begin
41353   temp = 0;
41354   i    = 0;
41355   for (i = 0; temp < value; i = i + 1)
41356	temp = 1<<i;
41357   clogb2_v1 = i-1;
41358end
41359endfunction
41360
41361
41362
41363
41364
41365
41366
41367
41368
41369lm32_instruction_unit_medium_debug #(
41370    .eba_reset              (eba_reset),
41371    .associativity          (icache_associativity),
41372    .sets                   (icache_sets),
41373    .bytes_per_line         (icache_bytes_per_line),
41374    .base_address           (icache_base_address),
41375    .limit                  (icache_limit)
41376  ) instruction_unit (
41377
41378    .clk_i                  (clk_i),
41379    .rst_i                  (rst_i),
41380
41381    .stall_a                (stall_a),
41382    .stall_f                (stall_f),
41383    .stall_d                (stall_d),
41384    .stall_x                (stall_x),
41385    .stall_m                (stall_m),
41386    .valid_f                (valid_f),
41387    .valid_d                (valid_d),
41388    .kill_f                 (kill_f),
41389    .branch_predict_taken_d (branch_predict_taken_d),
41390    .branch_predict_address_d (branch_predict_address_d),
41391
41392
41393
41394
41395
41396    .exception_m            (exception_m),
41397    .branch_taken_m         (branch_taken_m),
41398    .branch_mispredict_taken_m (branch_mispredict_taken_m),
41399    .branch_target_m        (branch_target_m),
41400
41401
41402    .iflush                 (iflush),
41403
41404
41405
41406
41407
41408
41409
41410
41411
41412
41413
41414    .i_dat_i                (I_DAT_I),
41415    .i_ack_i                (I_ACK_I),
41416    .i_err_i                (I_ERR_I),
41417    .i_rty_i                (I_RTY_I),
41418
41419
41420
41421
41422    .jtag_read_enable       (jtag_read_enable),
41423    .jtag_write_enable      (jtag_write_enable),
41424    .jtag_write_data        (jtag_write_data),
41425    .jtag_address           (jtag_address),
41426
41427
41428
41429
41430    .pc_f                   (pc_f),
41431    .pc_d                   (pc_d),
41432    .pc_x                   (pc_x),
41433    .pc_m                   (pc_m),
41434    .pc_w                   (pc_w),
41435
41436
41437    .icache_stall_request   (icache_stall_request),
41438    .icache_restart_request (icache_restart_request),
41439    .icache_refill_request  (icache_refill_request),
41440    .icache_refilling       (icache_refilling),
41441
41442
41443
41444
41445
41446    .i_dat_o                (I_DAT_O),
41447    .i_adr_o                (I_ADR_O),
41448    .i_cyc_o                (I_CYC_O),
41449    .i_sel_o                (I_SEL_O),
41450    .i_stb_o                (I_STB_O),
41451    .i_we_o                 (I_WE_O),
41452    .i_cti_o                (I_CTI_O),
41453    .i_lock_o               (I_LOCK_O),
41454    .i_bte_o                (I_BTE_O),
41455
41456
41457
41458
41459
41460
41461
41462
41463
41464
41465
41466
41467    .jtag_read_data         (jtag_read_data),
41468    .jtag_access_complete   (jtag_access_complete),
41469
41470
41471
41472
41473
41474
41475
41476
41477    .instruction_f          (instruction_f),
41478
41479
41480
41481
41482    .instruction_d          (instruction_d)
41483
41484
41485
41486    );
41487
41488
41489lm32_decoder_medium_debug decoder (
41490
41491    .instruction            (instruction_d),
41492
41493    .d_result_sel_0         (d_result_sel_0_d),
41494    .d_result_sel_1         (d_result_sel_1_d),
41495    .x_result_sel_csr       (x_result_sel_csr_d),
41496
41497
41498
41499
41500
41501
41502
41503
41504
41505
41506    .x_result_sel_sext      (x_result_sel_sext_d),
41507
41508
41509    .x_result_sel_logic     (x_result_sel_logic_d),
41510
41511
41512
41513
41514    .x_result_sel_add       (x_result_sel_add_d),
41515    .m_result_sel_compare   (m_result_sel_compare_d),
41516
41517
41518    .m_result_sel_shift     (m_result_sel_shift_d),
41519
41520
41521    .w_result_sel_load      (w_result_sel_load_d),
41522
41523
41524    .w_result_sel_mul       (w_result_sel_mul_d),
41525
41526
41527    .x_bypass_enable        (x_bypass_enable_d),
41528    .m_bypass_enable        (m_bypass_enable_d),
41529    .read_enable_0          (read_enable_0_d),
41530    .read_idx_0             (read_idx_0_d),
41531    .read_enable_1          (read_enable_1_d),
41532    .read_idx_1             (read_idx_1_d),
41533    .write_enable           (write_enable_d),
41534    .write_idx              (write_idx_d),
41535    .immediate              (immediate_d),
41536    .branch_offset          (branch_offset_d),
41537    .load                   (load_d),
41538    .store                  (store_d),
41539    .size                   (size_d),
41540    .sign_extend            (sign_extend_d),
41541    .adder_op               (adder_op_d),
41542    .logic_op               (logic_op_d),
41543
41544
41545    .direction              (direction_d),
41546
41547
41548
41549
41550
41551
41552
41553
41554
41555
41556
41557
41558
41559
41560
41561
41562    .branch                 (branch_d),
41563    .bi_unconditional       (bi_unconditional),
41564    .bi_conditional         (bi_conditional),
41565    .branch_reg             (branch_reg_d),
41566    .condition              (condition_d),
41567
41568
41569    .break_opcode           (break_d),
41570
41571
41572    .scall                  (scall_d),
41573    .eret                   (eret_d),
41574
41575
41576    .bret                   (bret_d),
41577
41578
41579
41580
41581
41582
41583    .csr_write_enable       (csr_write_enable_d)
41584    );
41585
41586
41587lm32_load_store_unit_medium_debug #(
41588    .associativity          (dcache_associativity),
41589    .sets                   (dcache_sets),
41590    .bytes_per_line         (dcache_bytes_per_line),
41591    .base_address           (dcache_base_address),
41592    .limit                  (dcache_limit)
41593  ) load_store_unit (
41594
41595    .clk_i                  (clk_i),
41596    .rst_i                  (rst_i),
41597
41598    .stall_a                (stall_a),
41599    .stall_x                (stall_x),
41600    .stall_m                (stall_m),
41601    .kill_x                 (kill_x),
41602    .kill_m                 (kill_m),
41603    .exception_m            (exception_m),
41604    .store_operand_x        (store_operand_x),
41605    .load_store_address_x   (adder_result_x),
41606    .load_store_address_m   (operand_m),
41607    .load_store_address_w   (operand_w[1:0]),
41608    .load_x                 (load_x),
41609    .store_x                (store_x),
41610    .load_q_x               (load_q_x),
41611    .store_q_x              (store_q_x),
41612    .load_q_m               (load_q_m),
41613    .store_q_m              (store_q_m),
41614    .sign_extend_x          (sign_extend_x),
41615    .size_x                 (size_x),
41616
41617
41618
41619
41620
41621
41622
41623
41624
41625
41626
41627
41628
41629
41630
41631
41632
41633    .d_dat_i                (D_DAT_I),
41634    .d_ack_i                (D_ACK_I),
41635    .d_err_i                (D_ERR_I),
41636    .d_rty_i                (D_RTY_I),
41637
41638
41639
41640
41641
41642
41643
41644
41645
41646    .load_data_w            (load_data_w),
41647    .stall_wb_load          (stall_wb_load),
41648
41649    .d_dat_o                (D_DAT_O),
41650    .d_adr_o                (D_ADR_O),
41651    .d_cyc_o                (D_CYC_O),
41652    .d_sel_o                (D_SEL_O),
41653    .d_stb_o                (D_STB_O),
41654    .d_we_o                 (D_WE_O),
41655    .d_cti_o                (D_CTI_O),
41656    .d_lock_o               (D_LOCK_O),
41657    .d_bte_o                (D_BTE_O)
41658    );
41659
41660
41661lm32_adder adder (
41662
41663    .adder_op_x             (adder_op_x),
41664    .adder_op_x_n           (adder_op_x_n),
41665    .operand_0_x            (operand_0_x),
41666    .operand_1_x            (operand_1_x),
41667
41668    .adder_result_x         (adder_result_x),
41669    .adder_carry_n_x        (adder_carry_n_x),
41670    .adder_overflow_x       (adder_overflow_x)
41671    );
41672
41673
41674lm32_logic_op logic_op (
41675
41676    .logic_op_x             (logic_op_x),
41677    .operand_0_x            (operand_0_x),
41678
41679    .operand_1_x            (operand_1_x),
41680
41681    .logic_result_x         (logic_result_x)
41682    );
41683
41684
41685
41686
41687lm32_shifter shifter (
41688
41689    .clk_i                  (clk_i),
41690    .rst_i                  (rst_i),
41691    .stall_x                (stall_x),
41692    .direction_x            (direction_x),
41693    .sign_extend_x          (sign_extend_x),
41694    .operand_0_x            (operand_0_x),
41695    .operand_1_x            (operand_1_x),
41696
41697    .shifter_result_m       (shifter_result_m)
41698    );
41699
41700
41701
41702
41703
41704
41705lm32_multiplier multiplier (
41706
41707    .clk_i                  (clk_i),
41708    .rst_i                  (rst_i),
41709    .stall_x                (stall_x),
41710    .stall_m                (stall_m),
41711    .operand_0              (d_result_0),
41712    .operand_1              (d_result_1),
41713
41714    .result                 (multiplier_result_w)
41715    );
41716
41717
41718
41719
41720
41721
41722
41723
41724
41725
41726
41727
41728
41729
41730
41731
41732
41733
41734
41735
41736
41737
41738
41739
41740
41741
41742
41743
41744
41745
41746
41747
41748
41749
41750
41751
41752
41753
41754lm32_interrupt_medium_debug interrupt_unit (
41755
41756    .clk_i                  (clk_i),
41757    .rst_i                  (rst_i),
41758
41759    .interrupt              (interrupt),
41760
41761    .stall_x                (stall_x),
41762
41763
41764    .non_debug_exception    (non_debug_exception_q_w),
41765    .debug_exception        (debug_exception_q_w),
41766
41767
41768
41769
41770    .eret_q_x               (eret_q_x),
41771
41772
41773    .bret_q_x               (bret_q_x),
41774
41775
41776    .csr                    (csr_x),
41777    .csr_write_data         (operand_1_x),
41778    .csr_write_enable       (csr_write_enable_q_x),
41779
41780    .interrupt_exception    (interrupt_exception),
41781
41782    .csr_read_data          (interrupt_csr_read_data_x)
41783    );
41784
41785
41786
41787
41788
41789
41790
41791
41792
41793
41794
41795
41796
41797
41798
41799lm32_jtag_medium_debug jtag (
41800
41801    .clk_i                  (clk_i),
41802    .rst_i                  (rst_i),
41803
41804    .jtag_clk               (jtag_clk),
41805    .jtag_update            (jtag_update),
41806    .jtag_reg_q             (jtag_reg_q),
41807    .jtag_reg_addr_q        (jtag_reg_addr_q),
41808
41809
41810
41811    .csr                    (csr_x),
41812    .csr_write_data         (operand_1_x),
41813    .csr_write_enable       (csr_write_enable_q_x),
41814    .stall_x                (stall_x),
41815
41816
41817
41818
41819    .jtag_read_data         (jtag_read_data),
41820    .jtag_access_complete   (jtag_access_complete),
41821
41822
41823
41824
41825    .exception_q_w          (debug_exception_q_w || non_debug_exception_q_w),
41826
41827
41828
41829
41830
41831
41832    .jtx_csr_read_data      (jtx_csr_read_data),
41833    .jrx_csr_read_data      (jrx_csr_read_data),
41834
41835
41836
41837
41838    .jtag_csr_write_enable  (jtag_csr_write_enable),
41839    .jtag_csr_write_data    (jtag_csr_write_data),
41840    .jtag_csr               (jtag_csr),
41841    .jtag_read_enable       (jtag_read_enable),
41842    .jtag_write_enable      (jtag_write_enable),
41843    .jtag_write_data        (jtag_write_data),
41844    .jtag_address           (jtag_address),
41845
41846
41847
41848
41849    .jtag_break             (jtag_break),
41850    .jtag_reset             (reset_exception),
41851
41852
41853
41854    .jtag_reg_d             (jtag_reg_d),
41855    .jtag_reg_addr_d        (jtag_reg_addr_d)
41856    );
41857
41858
41859
41860
41861
41862
41863lm32_debug_medium_debug #(
41864    .breakpoints            (breakpoints),
41865    .watchpoints            (watchpoints)
41866  ) hw_debug (
41867
41868    .clk_i                  (clk_i),
41869    .rst_i                  (rst_i),
41870    .pc_x                   (pc_x),
41871    .load_x                 (load_x),
41872    .store_x                (store_x),
41873    .load_store_address_x   (adder_result_x),
41874    .csr_write_enable_x     (csr_write_enable_q_x),
41875    .csr_write_data         (operand_1_x),
41876    .csr_x                  (csr_x),
41877
41878
41879
41880
41881    .jtag_csr_write_enable  (jtag_csr_write_enable),
41882    .jtag_csr_write_data    (jtag_csr_write_data),
41883    .jtag_csr               (jtag_csr),
41884
41885
41886
41887
41888
41889
41890
41891
41892
41893
41894
41895
41896    .eret_q_x               (eret_q_x),
41897    .bret_q_x               (bret_q_x),
41898    .stall_x                (stall_x),
41899    .exception_x            (exception_x),
41900    .q_x                    (q_x),
41901
41902
41903
41904
41905
41906
41907
41908
41909
41910    .dc_ss                  (dc_ss),
41911
41912
41913    .dc_re                  (dc_re),
41914    .bp_match               (bp_match),
41915    .wp_match               (wp_match)
41916    );
41917
41918
41919
41920
41921
41922
41923
41924
41925
41926
41927
41928
41929
41930
41931
41932
41933
41934
41935   wire [31:0] regfile_data_0, regfile_data_1;
41936   reg [31:0]  w_result_d;
41937   reg 	       regfile_raw_0, regfile_raw_0_nxt;
41938   reg 	       regfile_raw_1, regfile_raw_1_nxt;
41939
41940
41941
41942
41943
41944   always @(reg_write_enable_q_w or write_idx_w or instruction_f)
41945     begin
41946	if (reg_write_enable_q_w
41947	    && (write_idx_w == instruction_f[25:21]))
41948	  regfile_raw_0_nxt = 1'b1;
41949	else
41950	  regfile_raw_0_nxt = 1'b0;
41951
41952	if (reg_write_enable_q_w
41953	    && (write_idx_w == instruction_f[20:16]))
41954	  regfile_raw_1_nxt = 1'b1;
41955	else
41956	  regfile_raw_1_nxt = 1'b0;
41957     end
41958
41959
41960
41961
41962
41963
41964   always @(regfile_raw_0 or w_result_d or regfile_data_0)
41965     if (regfile_raw_0)
41966       reg_data_live_0 = w_result_d;
41967     else
41968       reg_data_live_0 = regfile_data_0;
41969
41970
41971
41972
41973
41974
41975   always @(regfile_raw_1 or w_result_d or regfile_data_1)
41976     if (regfile_raw_1)
41977       reg_data_live_1 = w_result_d;
41978     else
41979       reg_data_live_1 = regfile_data_1;
41980
41981
41982
41983
41984   always @(posedge clk_i  )
41985     if (rst_i ==  1'b1)
41986       begin
41987	  regfile_raw_0 <= 1'b0;
41988	  regfile_raw_1 <= 1'b0;
41989	  w_result_d <= 32'b0;
41990       end
41991     else
41992       begin
41993	  regfile_raw_0 <= regfile_raw_0_nxt;
41994	  regfile_raw_1 <= regfile_raw_1_nxt;
41995	  w_result_d <= w_result;
41996       end
41997
41998
41999
42000
42001
42002   lm32_dp_ram
42003     #(
42004
42005       .addr_depth(1<<5),
42006       .addr_width(5),
42007       .data_width(32)
42008       )
42009   reg_0
42010     (
42011
42012      .clk_i	(clk_i),
42013      .rst_i	(rst_i),
42014      .we_i	(reg_write_enable_q_w),
42015      .wdata_i	(w_result),
42016      .waddr_i	(write_idx_w),
42017      .raddr_i	(instruction_f[25:21]),
42018
42019      .rdata_o	(regfile_data_0)
42020      );
42021
42022   lm32_dp_ram
42023     #(
42024       .addr_depth(1<<5),
42025       .addr_width(5),
42026       .data_width(32)
42027       )
42028   reg_1
42029     (
42030
42031      .clk_i	(clk_i),
42032      .rst_i	(rst_i),
42033      .we_i	(reg_write_enable_q_w),
42034      .wdata_i	(w_result),
42035      .waddr_i	(write_idx_w),
42036      .raddr_i	(instruction_f[20:16]),
42037
42038      .rdata_o	(regfile_data_1)
42039      );
42040
42041
42042
42043
42044
42045
42046
42047
42048
42049
42050
42051
42052
42053
42054
42055
42056
42057
42058
42059
42060
42061
42062
42063
42064
42065
42066
42067
42068
42069
42070
42071
42072
42073
42074
42075
42076
42077
42078
42079
42080
42081
42082
42083
42084
42085
42086
42087
42088
42089
42090
42091
42092
42093
42094
42095
42096
42097
42098
42099
42100
42101
42102
42103
42104
42105
42106
42107
42108
42109
42110
42111
42112
42113
42114
42115
42116
42117
42118
42119
42120assign reg_data_0 = use_buf ? reg_data_buf_0 : reg_data_live_0;
42121assign reg_data_1 = use_buf ? reg_data_buf_1 : reg_data_live_1;
42122
42123
42124
42125
42126
42127
42128
42129
42130
42131
42132
42133
42134assign raw_x_0 = (write_idx_x == read_idx_0_d) && (write_enable_q_x ==  1'b1);
42135assign raw_m_0 = (write_idx_m == read_idx_0_d) && (write_enable_q_m ==  1'b1);
42136assign raw_w_0 = (write_idx_w == read_idx_0_d) && (write_enable_q_w ==  1'b1);
42137assign raw_x_1 = (write_idx_x == read_idx_1_d) && (write_enable_q_x ==  1'b1);
42138assign raw_m_1 = (write_idx_m == read_idx_1_d) && (write_enable_q_m ==  1'b1);
42139assign raw_w_1 = (write_idx_w == read_idx_1_d) && (write_enable_q_w ==  1'b1);
42140
42141
42142always @(*)
42143begin
42144    if (   (   (x_bypass_enable_x ==  1'b0)
42145            && (   ((read_enable_0_d ==  1'b1) && (raw_x_0 ==  1'b1))
42146                || ((read_enable_1_d ==  1'b1) && (raw_x_1 ==  1'b1))
42147               )
42148           )
42149        || (   (m_bypass_enable_m ==  1'b0)
42150            && (   ((read_enable_0_d ==  1'b1) && (raw_m_0 ==  1'b1))
42151                || ((read_enable_1_d ==  1'b1) && (raw_m_1 ==  1'b1))
42152               )
42153           )
42154       )
42155        interlock =  1'b1;
42156    else
42157        interlock =  1'b0;
42158end
42159
42160
42161always @(*)
42162begin
42163    if (raw_x_0 ==  1'b1)
42164        bypass_data_0 = x_result;
42165    else if (raw_m_0 ==  1'b1)
42166        bypass_data_0 = m_result;
42167    else if (raw_w_0 ==  1'b1)
42168        bypass_data_0 = w_result;
42169    else
42170        bypass_data_0 = reg_data_0;
42171end
42172
42173
42174always @(*)
42175begin
42176    if (raw_x_1 ==  1'b1)
42177        bypass_data_1 = x_result;
42178    else if (raw_m_1 ==  1'b1)
42179        bypass_data_1 = m_result;
42180    else if (raw_w_1 ==  1'b1)
42181        bypass_data_1 = w_result;
42182    else
42183        bypass_data_1 = reg_data_1;
42184end
42185
42186
42187
42188
42189
42190
42191
42192   assign branch_predict_d = bi_unconditional | bi_conditional;
42193   assign branch_predict_taken_d = bi_unconditional ? 1'b1 : (bi_conditional ? instruction_d[15] : 1'b0);
42194
42195
42196   assign branch_target_d = pc_d + branch_offset_d;
42197
42198
42199
42200
42201   assign branch_predict_address_d = branch_predict_taken_d ? branch_target_d : pc_f;
42202
42203
42204always @(*)
42205begin
42206    d_result_0 = d_result_sel_0_d[0] ? {pc_f, 2'b00} : bypass_data_0;
42207    case (d_result_sel_1_d)
42208     2'b00:      d_result_1 = { 32{1'b0}};
42209     2'b01:     d_result_1 = bypass_data_1;
42210     2'b10: d_result_1 = immediate_d;
42211    default:                        d_result_1 = { 32{1'bx}};
42212    endcase
42213end
42214
42215
42216
42217
42218
42219
42220
42221
42222
42223
42224
42225assign sextb_result_x = {{24{operand_0_x[7]}}, operand_0_x[7:0]};
42226assign sexth_result_x = {{16{operand_0_x[15]}}, operand_0_x[15:0]};
42227assign sext_result_x = size_x ==  2'b00 ? sextb_result_x : sexth_result_x;
42228
42229
42230
42231
42232
42233
42234
42235
42236
42237
42238assign cmp_zero = operand_0_x == operand_1_x;
42239assign cmp_negative = adder_result_x[ 32-1];
42240assign cmp_overflow = adder_overflow_x;
42241assign cmp_carry_n = adder_carry_n_x;
42242always @(*)
42243begin
42244    case (condition_x)
42245     3'b000:   condition_met_x =  1'b1;
42246     3'b110:   condition_met_x =  1'b1;
42247     3'b001:    condition_met_x = cmp_zero;
42248     3'b111:   condition_met_x = !cmp_zero;
42249     3'b010:    condition_met_x = !cmp_zero && (cmp_negative == cmp_overflow);
42250     3'b101:   condition_met_x = cmp_carry_n && !cmp_zero;
42251     3'b011:   condition_met_x = cmp_negative == cmp_overflow;
42252     3'b100:  condition_met_x = cmp_carry_n;
42253    default:              condition_met_x = 1'bx;
42254    endcase
42255end
42256
42257
42258always @(*)
42259begin
42260    x_result =   x_result_sel_add_x ? adder_result_x
42261               : x_result_sel_csr_x ? csr_read_data_x
42262
42263
42264               : x_result_sel_sext_x ? sext_result_x
42265
42266
42267
42268
42269
42270
42271
42272
42273
42274
42275
42276
42277
42278
42279               : logic_result_x;
42280end
42281
42282
42283always @(*)
42284begin
42285    m_result =   m_result_sel_compare_m ? {{ 32-1{1'b0}}, condition_met_m}
42286
42287
42288               : m_result_sel_shift_m ? shifter_result_m
42289
42290
42291               : operand_m;
42292end
42293
42294
42295always @(*)
42296begin
42297    w_result =    w_result_sel_load_w ? load_data_w
42298
42299
42300                : w_result_sel_mul_w ? multiplier_result_w
42301
42302
42303                : operand_w;
42304end
42305
42306
42307
42308
42309
42310
42311
42312
42313
42314
42315
42316
42317
42318assign branch_taken_m =      (stall_m ==  1'b0)
42319                          && (   (   (branch_m ==  1'b1)
42320                                  && (valid_m ==  1'b1)
42321                                  && (   (   (condition_met_m ==  1'b1)
42322					  && (branch_predict_taken_m ==  1'b0)
42323					 )
42324				      || (   (condition_met_m ==  1'b0)
42325					  && (branch_predict_m ==  1'b1)
42326					  && (branch_predict_taken_m ==  1'b1)
42327					 )
42328				     )
42329                                 )
42330                              || (exception_m ==  1'b1)
42331                             );
42332
42333
42334assign branch_mispredict_taken_m =    (condition_met_m ==  1'b0)
42335                                   && (branch_predict_m ==  1'b1)
42336	   			   && (branch_predict_taken_m ==  1'b1);
42337
42338
42339assign branch_flushX_m =    (stall_m ==  1'b0)
42340                         && (   (   (branch_m ==  1'b1)
42341                                 && (valid_m ==  1'b1)
42342			         && (   (condition_met_m ==  1'b1)
42343				     || (   (condition_met_m ==  1'b0)
42344					 && (branch_predict_m ==  1'b1)
42345					 && (branch_predict_taken_m ==  1'b1)
42346					)
42347				    )
42348			        )
42349			     || (exception_m ==  1'b1)
42350			    );
42351
42352
42353assign kill_f =    (   (valid_d ==  1'b1)
42354                    && (branch_predict_taken_d ==  1'b1)
42355		   )
42356                || (branch_taken_m ==  1'b1)
42357
42358
42359
42360
42361
42362
42363                || (icache_refill_request ==  1'b1)
42364
42365
42366
42367
42368
42369
42370                ;
42371assign kill_d =    (branch_taken_m ==  1'b1)
42372
42373
42374
42375
42376
42377
42378                || (icache_refill_request ==  1'b1)
42379
42380
42381
42382
42383
42384
42385                ;
42386assign kill_x =    (branch_flushX_m ==  1'b1)
42387
42388
42389
42390
42391                ;
42392assign kill_m =     1'b0
42393
42394
42395
42396
42397                ;
42398assign kill_w =     1'b0
42399
42400
42401
42402
42403                ;
42404
42405
42406
42407
42408
42409assign breakpoint_exception =    (   (   (break_x ==  1'b1)
42410				      || (bp_match ==  1'b1)
42411				     )
42412				  && (valid_x ==  1'b1)
42413				 )
42414
42415
42416                              || (jtag_break ==  1'b1)
42417
42418
42419                              ;
42420
42421
42422
42423
42424
42425assign watchpoint_exception = wp_match ==  1'b1;
42426
42427
42428
42429
42430
42431
42432
42433
42434
42435
42436
42437
42438
42439
42440
42441
42442assign system_call_exception = (   (scall_x ==  1'b1)
42443
42444
42445
42446
42447			       );
42448
42449
42450
42451assign debug_exception_x =  (breakpoint_exception ==  1'b1)
42452                         || (watchpoint_exception ==  1'b1)
42453                         ;
42454
42455assign non_debug_exception_x = (system_call_exception ==  1'b1)
42456
42457
42458                            || (reset_exception ==  1'b1)
42459
42460
42461
42462
42463
42464
42465
42466
42467
42468
42469
42470
42471
42472                            || (   (interrupt_exception ==  1'b1)
42473
42474
42475                                && (dc_ss ==  1'b0)
42476
42477
42478
42479
42480
42481
42482
42483                               )
42484
42485
42486                            ;
42487
42488assign exception_x = (debug_exception_x ==  1'b1) || (non_debug_exception_x ==  1'b1);
42489
42490
42491
42492
42493
42494
42495
42496
42497
42498
42499
42500
42501
42502
42503
42504
42505
42506
42507
42508
42509
42510
42511
42512
42513
42514
42515
42516
42517
42518
42519
42520
42521
42522
42523
42524
42525always @(*)
42526begin
42527
42528
42529
42530
42531    if (reset_exception ==  1'b1)
42532        eid_x =  3'h0;
42533    else
42534
42535
42536
42537
42538
42539
42540
42541
42542         if (breakpoint_exception ==  1'b1)
42543        eid_x =  3'd1;
42544    else
42545
42546
42547
42548
42549
42550
42551
42552
42553
42554
42555
42556
42557
42558         if (watchpoint_exception ==  1'b1)
42559        eid_x =  3'd3;
42560    else
42561
42562
42563
42564
42565
42566
42567
42568
42569
42570
42571         if (   (interrupt_exception ==  1'b1)
42572
42573
42574             && (dc_ss ==  1'b0)
42575
42576
42577            )
42578        eid_x =  3'h6;
42579    else
42580
42581
42582        eid_x =  3'h7;
42583end
42584
42585
42586
42587assign stall_a = (stall_f ==  1'b1);
42588
42589assign stall_f = (stall_d ==  1'b1);
42590
42591assign stall_d =   (stall_x ==  1'b1)
42592                || (   (interlock ==  1'b1)
42593                    && (kill_d ==  1'b0)
42594                   )
42595		|| (   (   (eret_d ==  1'b1)
42596			|| (scall_d ==  1'b1)
42597
42598
42599
42600
42601		       )
42602		    && (   (load_q_x ==  1'b1)
42603			|| (load_q_m ==  1'b1)
42604			|| (store_q_x ==  1'b1)
42605			|| (store_q_m ==  1'b1)
42606			|| (D_CYC_O ==  1'b1)
42607		       )
42608                    && (kill_d ==  1'b0)
42609		   )
42610
42611
42612		|| (   (   (break_d ==  1'b1)
42613			|| (bret_d ==  1'b1)
42614		       )
42615		    && (   (load_q_x ==  1'b1)
42616			|| (store_q_x ==  1'b1)
42617			|| (load_q_m ==  1'b1)
42618			|| (store_q_m ==  1'b1)
42619			|| (D_CYC_O ==  1'b1)
42620		       )
42621                    && (kill_d ==  1'b0)
42622		   )
42623
42624
42625                || (   (csr_write_enable_d ==  1'b1)
42626                    && (load_q_x ==  1'b1)
42627                   )
42628
42629
42630
42631
42632
42633
42634
42635
42636
42637
42638                ;
42639
42640assign stall_x =    (stall_m ==  1'b1)
42641
42642
42643
42644
42645
42646
42647
42648
42649                 ;
42650
42651assign stall_m =    (stall_wb_load ==  1'b1)
42652
42653
42654
42655
42656                 || (   (D_CYC_O ==  1'b1)
42657                     && (   (store_m ==  1'b1)
42658
42659
42660
42661
42662
42663
42664
42665
42666
42667
42668
42669
42670
42671
42672
42673		         || ((store_x ==  1'b1) && (interrupt_exception ==  1'b1))
42674
42675
42676                         || (load_m ==  1'b1)
42677                         || (load_x ==  1'b1)
42678                        )
42679                    )
42680
42681
42682
42683
42684
42685
42686
42687
42688                 || (icache_stall_request ==  1'b1)
42689                 || ((I_CYC_O ==  1'b1) && ((branch_m ==  1'b1) || (exception_m ==  1'b1)))
42690
42691
42692
42693
42694
42695
42696
42697
42698
42699
42700
42701
42702
42703
42704
42705
42706                 ;
42707
42708
42709
42710
42711
42712
42713
42714
42715
42716
42717
42718
42719
42720
42721
42722
42723
42724
42725
42726
42727
42728
42729assign q_x = (valid_x ==  1'b1) && (kill_x ==  1'b0);
42730assign csr_write_enable_q_x = (csr_write_enable_x ==  1'b1) && (q_x ==  1'b1);
42731assign eret_q_x = (eret_x ==  1'b1) && (q_x ==  1'b1);
42732
42733
42734assign bret_q_x = (bret_x ==  1'b1) && (q_x ==  1'b1);
42735
42736
42737assign load_q_x = (load_x ==  1'b1)
42738               && (q_x ==  1'b1)
42739
42740
42741               && (bp_match ==  1'b0)
42742
42743
42744                  ;
42745assign store_q_x = (store_x ==  1'b1)
42746               && (q_x ==  1'b1)
42747
42748
42749               && (bp_match ==  1'b0)
42750
42751
42752                  ;
42753
42754
42755
42756
42757assign q_m = (valid_m ==  1'b1) && (kill_m ==  1'b0) && (exception_m ==  1'b0);
42758assign load_q_m = (load_m ==  1'b1) && (q_m ==  1'b1);
42759assign store_q_m = (store_m ==  1'b1) && (q_m ==  1'b1);
42760
42761
42762assign debug_exception_q_w = ((debug_exception_w ==  1'b1) && (valid_w ==  1'b1));
42763assign non_debug_exception_q_w = ((non_debug_exception_w ==  1'b1) && (valid_w ==  1'b1));
42764
42765
42766
42767
42768
42769assign write_enable_q_x = (write_enable_x ==  1'b1) && (valid_x ==  1'b1) && (branch_flushX_m ==  1'b0);
42770assign write_enable_q_m = (write_enable_m ==  1'b1) && (valid_m ==  1'b1);
42771assign write_enable_q_w = (write_enable_w ==  1'b1) && (valid_w ==  1'b1);
42772
42773assign reg_write_enable_q_w = (write_enable_w ==  1'b1) && (kill_w ==  1'b0) && (valid_w ==  1'b1);
42774
42775
42776assign cfg = {
42777               6'h02,
42778              watchpoints[3:0],
42779              breakpoints[3:0],
42780              interrupts[5:0],
42781
42782
42783               1'b1,
42784
42785
42786
42787
42788
42789
42790
42791
42792               1'b0,
42793
42794
42795
42796
42797               1'b1,
42798
42799
42800
42801
42802
42803
42804               1'b1,
42805
42806
42807
42808
42809
42810
42811               1'b1,
42812
42813
42814
42815
42816
42817
42818
42819
42820               1'b0,
42821
42822
42823
42824
42825
42826
42827               1'b0,
42828
42829
42830
42831
42832
42833
42834               1'b0,
42835
42836
42837
42838
42839               1'b1,
42840
42841
42842
42843
42844
42845
42846               1'b1,
42847
42848
42849
42850
42851
42852
42853
42854
42855               1'b0,
42856
42857
42858
42859
42860               1'b1
42861
42862
42863
42864
42865              };
42866
42867assign cfg2 = {
42868		     30'b0,
42869
42870
42871
42872
42873		      1'b0,
42874
42875
42876
42877
42878
42879
42880		      1'b0
42881
42882
42883		     };
42884
42885
42886
42887
42888assign iflush = (   (csr_write_enable_d ==  1'b1)
42889                 && (csr_d ==  5'h3)
42890                 && (stall_d ==  1'b0)
42891                 && (kill_d ==  1'b0)
42892                 && (valid_d ==  1'b1))
42893
42894
42895
42896             ||
42897                (   (jtag_csr_write_enable ==  1'b1)
42898		 && (jtag_csr ==  5'h3))
42899
42900
42901		 ;
42902
42903
42904
42905
42906
42907
42908
42909
42910
42911
42912
42913
42914
42915
42916
42917
42918assign csr_d = read_idx_0_d[ (5-1):0];
42919
42920
42921always @(*)
42922begin
42923    case (csr_x)
42924
42925
42926     5'h0,
42927     5'h1,
42928     5'h2:   csr_read_data_x = interrupt_csr_read_data_x;
42929
42930
42931
42932
42933
42934
42935     5'h6:  csr_read_data_x = cfg;
42936     5'h7:  csr_read_data_x = {eba, 8'h00};
42937
42938
42939     5'h9: csr_read_data_x = {deba, 8'h00};
42940
42941
42942
42943
42944     5'he:  csr_read_data_x = jtx_csr_read_data;
42945     5'hf:  csr_read_data_x = jrx_csr_read_data;
42946
42947
42948     5'ha: csr_read_data_x = cfg2;
42949     5'hb:  csr_read_data_x = sdb_address;
42950
42951
42952
42953
42954
42955
42956    default:        csr_read_data_x = { 32{1'bx}};
42957    endcase
42958end
42959
42960
42961
42962
42963
42964
42965always @(posedge clk_i  )
42966begin
42967    if (rst_i ==  1'b1)
42968        eba <= eba_reset[ (clogb2(32'h7fffffff-32'h0)-2)+2-1:8];
42969    else
42970    begin
42971        if ((csr_write_enable_q_x ==  1'b1) && (csr_x ==  5'h7) && (stall_x ==  1'b0))
42972            eba <= operand_1_x[ (clogb2(32'h7fffffff-32'h0)-2)+2-1:8];
42973
42974
42975
42976
42977       if ((jtag_csr_write_enable ==  1'b1) && (jtag_csr ==  5'h7))
42978         eba <= jtag_csr_write_data[ (clogb2(32'h7fffffff-32'h0)-2)+2-1:8];
42979
42980
42981
42982
42983
42984
42985
42986
42987
42988    end
42989end
42990
42991
42992
42993
42994always @(posedge clk_i  )
42995begin
42996    if (rst_i ==  1'b1)
42997        deba <= deba_reset[ (clogb2(32'h7fffffff-32'h0)-2)+2-1:8];
42998    else
42999    begin
43000        if ((csr_write_enable_q_x ==  1'b1) && (csr_x ==  5'h9) && (stall_x ==  1'b0))
43001            deba <= operand_1_x[ (clogb2(32'h7fffffff-32'h0)-2)+2-1:8];
43002
43003
43004
43005
43006       if ((jtag_csr_write_enable ==  1'b1) && (jtag_csr ==  5'h9))
43007         deba <= jtag_csr_write_data[ (clogb2(32'h7fffffff-32'h0)-2)+2-1:8];
43008
43009
43010
43011
43012
43013
43014
43015
43016
43017    end
43018end
43019
43020
43021
43022
43023
43024
43025
43026
43027
43028
43029
43030
43031
43032
43033
43034
43035
43036
43037
43038
43039
43040
43041
43042
43043
43044
43045
43046
43047
43048
43049
43050
43051
43052
43053
43054
43055
43056
43057
43058
43059
43060
43061
43062
43063
43064
43065
43066
43067
43068
43069
43070
43071
43072
43073
43074
43075always @(*)
43076begin
43077    if (icache_refill_request ==  1'b1)
43078        valid_a =  1'b0;
43079    else if (icache_restart_request ==  1'b1)
43080        valid_a =  1'b1;
43081    else
43082        valid_a = !icache_refilling;
43083end
43084
43085
43086
43087
43088
43089
43090
43091
43092
43093
43094
43095
43096
43097
43098
43099
43100
43101always @(posedge clk_i  )
43102begin
43103    if (rst_i ==  1'b1)
43104    begin
43105        valid_f <=  1'b0;
43106        valid_d <=  1'b0;
43107        valid_x <=  1'b0;
43108        valid_m <=  1'b0;
43109        valid_w <=  1'b0;
43110    end
43111    else
43112    begin
43113        if ((kill_f ==  1'b1) || (stall_a ==  1'b0))
43114
43115
43116            valid_f <= valid_a;
43117
43118
43119
43120
43121        else if (stall_f ==  1'b0)
43122            valid_f <=  1'b0;
43123
43124        if (kill_d ==  1'b1)
43125            valid_d <=  1'b0;
43126        else if (stall_f ==  1'b0)
43127            valid_d <= valid_f & !kill_f;
43128        else if (stall_d ==  1'b0)
43129            valid_d <=  1'b0;
43130
43131        if (stall_d ==  1'b0)
43132            valid_x <= valid_d & !kill_d;
43133        else if (kill_x ==  1'b1)
43134            valid_x <=  1'b0;
43135        else if (stall_x ==  1'b0)
43136            valid_x <=  1'b0;
43137
43138        if (kill_m ==  1'b1)
43139            valid_m <=  1'b0;
43140        else if (stall_x ==  1'b0)
43141            valid_m <= valid_x & !kill_x;
43142        else if (stall_m ==  1'b0)
43143            valid_m <=  1'b0;
43144
43145        if (stall_m ==  1'b0)
43146            valid_w <= valid_m & !kill_m;
43147        else
43148            valid_w <=  1'b0;
43149    end
43150end
43151
43152
43153always @(posedge clk_i  )
43154begin
43155    if (rst_i ==  1'b1)
43156    begin
43157
43158
43159
43160
43161        operand_0_x <= { 32{1'b0}};
43162        operand_1_x <= { 32{1'b0}};
43163        store_operand_x <= { 32{1'b0}};
43164        branch_target_x <= { (clogb2(32'h7fffffff-32'h0)-2){1'b0}};
43165        x_result_sel_csr_x <=  1'b0;
43166
43167
43168
43169
43170
43171
43172
43173
43174
43175
43176        x_result_sel_sext_x <=  1'b0;
43177
43178
43179
43180
43181
43182
43183        x_result_sel_add_x <=  1'b0;
43184        m_result_sel_compare_x <=  1'b0;
43185
43186
43187        m_result_sel_shift_x <=  1'b0;
43188
43189
43190        w_result_sel_load_x <=  1'b0;
43191
43192
43193        w_result_sel_mul_x <=  1'b0;
43194
43195
43196        x_bypass_enable_x <=  1'b0;
43197        m_bypass_enable_x <=  1'b0;
43198        write_enable_x <=  1'b0;
43199        write_idx_x <= { 5{1'b0}};
43200        csr_x <= { 5{1'b0}};
43201        load_x <=  1'b0;
43202        store_x <=  1'b0;
43203        size_x <= { 2{1'b0}};
43204        sign_extend_x <=  1'b0;
43205        adder_op_x <=  1'b0;
43206        adder_op_x_n <=  1'b0;
43207        logic_op_x <= 4'h0;
43208
43209
43210        direction_x <=  1'b0;
43211
43212
43213
43214
43215
43216
43217
43218        branch_x <=  1'b0;
43219        branch_predict_x <=  1'b0;
43220        branch_predict_taken_x <=  1'b0;
43221        condition_x <=  3'b000;
43222
43223
43224        break_x <=  1'b0;
43225
43226
43227        scall_x <=  1'b0;
43228        eret_x <=  1'b0;
43229
43230
43231        bret_x <=  1'b0;
43232
43233
43234
43235
43236
43237
43238
43239        csr_write_enable_x <=  1'b0;
43240        operand_m <= { 32{1'b0}};
43241        branch_target_m <= { (clogb2(32'h7fffffff-32'h0)-2){1'b0}};
43242        m_result_sel_compare_m <=  1'b0;
43243
43244
43245        m_result_sel_shift_m <=  1'b0;
43246
43247
43248        w_result_sel_load_m <=  1'b0;
43249
43250
43251        w_result_sel_mul_m <=  1'b0;
43252
43253
43254        m_bypass_enable_m <=  1'b0;
43255        branch_m <=  1'b0;
43256        branch_predict_m <=  1'b0;
43257	branch_predict_taken_m <=  1'b0;
43258        exception_m <=  1'b0;
43259        load_m <=  1'b0;
43260        store_m <=  1'b0;
43261        write_enable_m <=  1'b0;
43262        write_idx_m <= { 5{1'b0}};
43263        condition_met_m <=  1'b0;
43264
43265
43266
43267
43268
43269
43270        debug_exception_m <=  1'b0;
43271        non_debug_exception_m <=  1'b0;
43272
43273
43274        operand_w <= { 32{1'b0}};
43275        w_result_sel_load_w <=  1'b0;
43276
43277
43278        w_result_sel_mul_w <=  1'b0;
43279
43280
43281        write_idx_w <= { 5{1'b0}};
43282        write_enable_w <=  1'b0;
43283
43284
43285        debug_exception_w <=  1'b0;
43286        non_debug_exception_w <=  1'b0;
43287
43288
43289
43290
43291
43292
43293
43294
43295    end
43296    else
43297    begin
43298
43299
43300        if (stall_x ==  1'b0)
43301        begin
43302
43303
43304
43305
43306            operand_0_x <= d_result_0;
43307            operand_1_x <= d_result_1;
43308            store_operand_x <= bypass_data_1;
43309            branch_target_x <= branch_reg_d ==  1'b1 ? bypass_data_0[ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] : branch_target_d;
43310            x_result_sel_csr_x <= x_result_sel_csr_d;
43311
43312
43313
43314
43315
43316
43317
43318
43319
43320
43321            x_result_sel_sext_x <= x_result_sel_sext_d;
43322
43323
43324
43325
43326
43327
43328            x_result_sel_add_x <= x_result_sel_add_d;
43329            m_result_sel_compare_x <= m_result_sel_compare_d;
43330
43331
43332            m_result_sel_shift_x <= m_result_sel_shift_d;
43333
43334
43335            w_result_sel_load_x <= w_result_sel_load_d;
43336
43337
43338            w_result_sel_mul_x <= w_result_sel_mul_d;
43339
43340
43341            x_bypass_enable_x <= x_bypass_enable_d;
43342            m_bypass_enable_x <= m_bypass_enable_d;
43343            load_x <= load_d;
43344            store_x <= store_d;
43345            branch_x <= branch_d;
43346	    branch_predict_x <= branch_predict_d;
43347	    branch_predict_taken_x <= branch_predict_taken_d;
43348	    write_idx_x <= write_idx_d;
43349            csr_x <= csr_d;
43350            size_x <= size_d;
43351            sign_extend_x <= sign_extend_d;
43352            adder_op_x <= adder_op_d;
43353            adder_op_x_n <= ~adder_op_d;
43354            logic_op_x <= logic_op_d;
43355
43356
43357            direction_x <= direction_d;
43358
43359
43360
43361
43362
43363
43364            condition_x <= condition_d;
43365            csr_write_enable_x <= csr_write_enable_d;
43366
43367
43368            break_x <= break_d;
43369
43370
43371            scall_x <= scall_d;
43372
43373
43374
43375
43376            eret_x <= eret_d;
43377
43378
43379            bret_x <= bret_d;
43380
43381
43382            write_enable_x <= write_enable_d;
43383        end
43384
43385
43386
43387        if (stall_m ==  1'b0)
43388        begin
43389            operand_m <= x_result;
43390            m_result_sel_compare_m <= m_result_sel_compare_x;
43391
43392
43393            m_result_sel_shift_m <= m_result_sel_shift_x;
43394
43395
43396            if (exception_x ==  1'b1)
43397            begin
43398                w_result_sel_load_m <=  1'b0;
43399
43400
43401                w_result_sel_mul_m <=  1'b0;
43402
43403
43404            end
43405            else
43406            begin
43407                w_result_sel_load_m <= w_result_sel_load_x;
43408
43409
43410                w_result_sel_mul_m <= w_result_sel_mul_x;
43411
43412
43413            end
43414            m_bypass_enable_m <= m_bypass_enable_x;
43415            load_m <= load_x;
43416            store_m <= store_x;
43417
43418
43419
43420
43421            branch_m <= branch_x;
43422	    branch_predict_m <= branch_predict_x;
43423	    branch_predict_taken_m <= branch_predict_taken_x;
43424
43425
43426
43427
43428
43429
43430
43431
43432
43433            if (non_debug_exception_x ==  1'b1)
43434                write_idx_m <=  5'd30;
43435            else if (debug_exception_x ==  1'b1)
43436                write_idx_m <=  5'd31;
43437            else
43438                write_idx_m <= write_idx_x;
43439
43440
43441
43442
43443
43444
43445
43446            condition_met_m <= condition_met_x;
43447
43448
43449	   if (exception_x ==  1'b1)
43450	     if ((dc_re ==  1'b1)
43451		 || ((debug_exception_x ==  1'b1)
43452		     && (non_debug_exception_x ==  1'b0)))
43453	       branch_target_m <= {deba, eid_x, {3{1'b0}}};
43454	     else
43455	       branch_target_m <= {eba, eid_x, {3{1'b0}}};
43456	   else
43457	     branch_target_m <= branch_target_x;
43458
43459
43460
43461
43462
43463
43464
43465
43466
43467
43468
43469
43470
43471
43472
43473
43474
43475
43476
43477            write_enable_m <= exception_x ==  1'b1 ?  1'b1 : write_enable_x;
43478
43479
43480            debug_exception_m <= debug_exception_x;
43481            non_debug_exception_m <= non_debug_exception_x;
43482
43483
43484        end
43485
43486
43487        if (stall_m ==  1'b0)
43488        begin
43489            if ((exception_x ==  1'b1) && (q_x ==  1'b1) && (stall_x ==  1'b0))
43490                exception_m <=  1'b1;
43491            else
43492                exception_m <=  1'b0;
43493
43494
43495
43496
43497
43498
43499
43500
43501	end
43502
43503
43504
43505
43506
43507
43508        operand_w <= exception_m ==  1'b1 ? {pc_m, 2'b00} : m_result;
43509
43510
43511        w_result_sel_load_w <= w_result_sel_load_m;
43512
43513
43514        w_result_sel_mul_w <= w_result_sel_mul_m;
43515
43516
43517        write_idx_w <= write_idx_m;
43518
43519
43520
43521
43522
43523
43524
43525
43526        write_enable_w <= write_enable_m;
43527
43528
43529        debug_exception_w <= debug_exception_m;
43530        non_debug_exception_w <= non_debug_exception_m;
43531
43532
43533
43534
43535
43536
43537
43538
43539
43540
43541
43542
43543
43544    end
43545end
43546
43547
43548
43549
43550
43551always @(posedge clk_i  )
43552begin
43553    if (rst_i ==  1'b1)
43554    begin
43555        use_buf <=  1'b0;
43556        reg_data_buf_0 <= { 32{1'b0}};
43557        reg_data_buf_1 <= { 32{1'b0}};
43558    end
43559    else
43560    begin
43561        if (stall_d ==  1'b0)
43562            use_buf <=  1'b0;
43563        else if (use_buf ==  1'b0)
43564        begin
43565            reg_data_buf_0 <= reg_data_live_0;
43566            reg_data_buf_1 <= reg_data_live_1;
43567            use_buf <=  1'b1;
43568        end
43569        if (reg_write_enable_q_w ==  1'b1)
43570        begin
43571            if (write_idx_w == read_idx_0_d)
43572                reg_data_buf_0 <= w_result;
43573            if (write_idx_w == read_idx_1_d)
43574                reg_data_buf_1 <= w_result;
43575        end
43576    end
43577end
43578
43579
43580
43581
43582
43583
43584
43585
43586
43587
43588
43589
43590
43591
43592
43593
43594
43595
43596
43597
43598
43599
43600
43601
43602
43603
43604
43605
43606
43607
43608
43609
43610
43611
43612
43613
43614
43615
43616
43617
43618
43619
43620
43621
43622
43623
43624
43625
43626
43627
43628
43629
43630
43631
43632
43633
43634
43635
43636
43637
43638
43639
43640
43641
43642
43643
43644
43645
43646
43647
43648
43649
43650
43651
43652
43653
43654
43655
43656
43657
43658
43659
43660
43661
43662
43663
43664
43665
43666
43667
43668
43669
43670
43671
43672
43673
43674
43675
43676
43677
43678
43679
43680
43681
43682
43683
43684
43685
43686
43687
43688
43689
43690
43691
43692
43693
43694
43695
43696
43697
43698endmodule
43699
43700
43701
43702
43703
43704
43705
43706
43707
43708
43709
43710
43711
43712
43713
43714
43715
43716
43717
43718
43719
43720
43721
43722
43723
43724
43725
43726
43727
43728
43729
43730
43731
43732
43733
43734
43735
43736
43737
43738
43739
43740
43741
43742
43743
43744
43745
43746
43747
43748
43749
43750
43751
43752
43753
43754
43755
43756
43757
43758
43759
43760
43761
43762
43763
43764
43765
43766
43767
43768
43769
43770
43771
43772
43773
43774
43775
43776
43777
43778
43779
43780
43781
43782
43783
43784
43785
43786
43787
43788
43789
43790
43791
43792
43793
43794
43795
43796
43797
43798
43799
43800
43801
43802
43803
43804
43805
43806
43807
43808
43809
43810
43811
43812
43813
43814
43815
43816
43817
43818
43819
43820
43821
43822
43823
43824
43825
43826
43827
43828
43829
43830
43831
43832
43833
43834
43835
43836
43837
43838
43839
43840
43841
43842
43843
43844
43845
43846
43847
43848
43849
43850
43851
43852
43853
43854
43855
43856
43857
43858
43859
43860
43861
43862
43863
43864
43865
43866
43867
43868
43869
43870
43871
43872
43873
43874
43875
43876
43877
43878
43879
43880
43881
43882
43883
43884
43885
43886
43887
43888
43889
43890
43891
43892
43893
43894
43895
43896
43897
43898
43899
43900
43901
43902
43903
43904
43905
43906
43907
43908
43909
43910
43911
43912
43913
43914
43915
43916
43917
43918
43919
43920
43921
43922
43923
43924
43925
43926
43927
43928
43929
43930
43931
43932
43933
43934
43935
43936
43937
43938
43939
43940
43941
43942
43943
43944
43945
43946
43947
43948
43949
43950
43951
43952
43953
43954
43955
43956
43957
43958
43959
43960
43961
43962
43963
43964
43965
43966
43967
43968
43969
43970
43971
43972
43973
43974
43975
43976
43977
43978
43979
43980
43981
43982
43983
43984
43985
43986
43987
43988
43989
43990
43991
43992
43993
43994
43995
43996
43997
43998
43999
44000
44001
44002
44003
44004
44005
44006
44007
44008
44009
44010
44011
44012
44013
44014
44015
44016
44017
44018
44019
44020
44021
44022
44023
44024
44025
44026
44027
44028
44029
44030
44031
44032
44033
44034
44035
44036
44037
44038
44039
44040
44041
44042
44043
44044
44045
44046
44047
44048
44049
44050
44051
44052
44053
44054
44055
44056
44057
44058
44059
44060
44061
44062
44063
44064
44065
44066
44067
44068
44069
44070
44071
44072module lm32_load_store_unit_medium_debug
44073(
44074
44075    clk_i,
44076    rst_i,
44077
44078    stall_a,
44079    stall_x,
44080    stall_m,
44081    kill_x,
44082    kill_m,
44083    exception_m,
44084    store_operand_x,
44085    load_store_address_x,
44086    load_store_address_m,
44087    load_store_address_w,
44088    load_x,
44089    store_x,
44090    load_q_x,
44091    store_q_x,
44092    load_q_m,
44093    store_q_m,
44094    sign_extend_x,
44095    size_x,
44096
44097
44098
44099
44100
44101    d_dat_i,
44102    d_ack_i,
44103    d_err_i,
44104    d_rty_i,
44105
44106
44107
44108
44109
44110
44111
44112
44113
44114
44115
44116
44117
44118
44119
44120
44121
44122
44123
44124    load_data_w,
44125    stall_wb_load,
44126
44127    d_dat_o,
44128    d_adr_o,
44129    d_cyc_o,
44130    d_sel_o,
44131    d_stb_o,
44132    d_we_o,
44133    d_cti_o,
44134    d_lock_o,
44135    d_bte_o
44136    );
44137
44138
44139
44140
44141
44142parameter associativity = 1;
44143parameter sets = 512;
44144parameter bytes_per_line = 16;
44145parameter base_address = 0;
44146parameter limit = 0;
44147
44148
44149localparam addr_offset_width = bytes_per_line == 4 ? 1 : clogb2(bytes_per_line)-1-2;
44150localparam addr_offset_lsb = 2;
44151localparam addr_offset_msb = (addr_offset_lsb+addr_offset_width-1);
44152
44153
44154
44155
44156
44157   input clk_i;
44158
44159input rst_i;
44160
44161input stall_a;
44162input stall_x;
44163input stall_m;
44164input kill_x;
44165input kill_m;
44166input exception_m;
44167
44168input [ (32-1):0] store_operand_x;
44169input [ (32-1):0] load_store_address_x;
44170input [ (32-1):0] load_store_address_m;
44171input [1:0] load_store_address_w;
44172input load_x;
44173input store_x;
44174input load_q_x;
44175input store_q_x;
44176input load_q_m;
44177input store_q_m;
44178input sign_extend_x;
44179input [ 1:0] size_x;
44180
44181
44182
44183
44184
44185
44186
44187
44188
44189
44190
44191
44192
44193
44194
44195
44196
44197   reg 		 [31:0] iram_dat_d0;
44198   reg 		 iram_en_d0;
44199   wire 	 iram_en;
44200   wire [31:0] 	 iram_data;
44201
44202
44203
44204input [ (32-1):0] d_dat_i;
44205input d_ack_i;
44206input d_err_i;
44207input d_rty_i;
44208
44209
44210
44211
44212
44213
44214
44215
44216
44217
44218
44219
44220
44221
44222
44223
44224
44225
44226output [ (32-1):0] load_data_w;
44227reg    [ (32-1):0] load_data_w;
44228output stall_wb_load;
44229reg    stall_wb_load;
44230
44231output [ (32-1):0] d_dat_o;
44232reg    [ (32-1):0] d_dat_o;
44233output [ (32-1):0] d_adr_o;
44234reg    [ (32-1):0] d_adr_o;
44235output d_cyc_o;
44236reg    d_cyc_o;
44237output [ (4-1):0] d_sel_o;
44238reg    [ (4-1):0] d_sel_o;
44239output d_stb_o;
44240reg    d_stb_o;
44241output d_we_o;
44242reg    d_we_o;
44243output [ (3-1):0] d_cti_o;
44244reg    [ (3-1):0] d_cti_o;
44245output d_lock_o;
44246reg    d_lock_o;
44247output [ (2-1):0] d_bte_o;
44248wire   [ (2-1):0] d_bte_o;
44249
44250
44251
44252
44253
44254
44255reg [ 1:0] size_m;
44256reg [ 1:0] size_w;
44257reg sign_extend_m;
44258reg sign_extend_w;
44259reg [ (32-1):0] store_data_x;
44260reg [ (32-1):0] store_data_m;
44261reg [ (4-1):0] byte_enable_x;
44262reg [ (4-1):0] byte_enable_m;
44263wire [ (32-1):0] data_m;
44264reg [ (32-1):0] data_w;
44265
44266
44267
44268
44269
44270
44271
44272
44273
44274
44275
44276
44277
44278
44279
44280
44281
44282
44283
44284
44285
44286
44287
44288
44289
44290wire wb_select_x;
44291
44292
44293
44294
44295
44296
44297
44298
44299
44300
44301reg wb_select_m;
44302reg [ (32-1):0] wb_data_m;
44303reg wb_load_complete;
44304
44305
44306
44307
44308
44309
44310
44311
44312
44313
44314
44315
44316
44317
44318
44319
44320
44321
44322
44323
44324
44325
44326
44327
44328
44329
44330
44331
44332
44333
44334
44335
44336
44337
44338
44339function integer clogb2;
44340input [31:0] value;
44341begin
44342   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
44343        value = value >> 1;
44344end
44345endfunction
44346
44347function integer clogb2_v1;
44348input [31:0] value;
44349reg   [31:0] i;
44350reg   [31:0] temp;
44351begin
44352   temp = 0;
44353   i    = 0;
44354   for (i = 0; temp < value; i = i + 1)
44355	temp = 1<<i;
44356   clogb2_v1 = i-1;
44357end
44358endfunction
44359
44360
44361
44362
44363
44364
44365
44366
44367
44368
44369
44370
44371
44372
44373
44374
44375
44376
44377
44378
44379
44380
44381
44382
44383
44384
44385
44386
44387
44388
44389
44390
44391
44392
44393
44394
44395
44396
44397
44398
44399
44400
44401
44402
44403
44404
44405
44406
44407
44408
44409
44410
44411
44412
44413
44414
44415
44416
44417
44418
44419
44420
44421
44422
44423
44424
44425
44426
44427
44428
44429
44430
44431
44432
44433
44434
44435
44436
44437
44438
44439
44440
44441
44442
44443
44444
44445
44446
44447
44448
44449
44450
44451
44452
44453   assign wb_select_x =     1'b1
44454
44455
44456
44457
44458
44459
44460
44461
44462
44463
44464
44465
44466                     ;
44467
44468
44469always @(*)
44470begin
44471    case (size_x)
44472     2'b00:  store_data_x = {4{store_operand_x[7:0]}};
44473     2'b11: store_data_x = {2{store_operand_x[15:0]}};
44474     2'b10:  store_data_x = store_operand_x;
44475    default:          store_data_x = { 32{1'bx}};
44476    endcase
44477end
44478
44479
44480always @(*)
44481begin
44482    casez ({size_x, load_store_address_x[1:0]})
44483    { 2'b00, 2'b11}:  byte_enable_x = 4'b0001;
44484    { 2'b00, 2'b10}:  byte_enable_x = 4'b0010;
44485    { 2'b00, 2'b01}:  byte_enable_x = 4'b0100;
44486    { 2'b00, 2'b00}:  byte_enable_x = 4'b1000;
44487    { 2'b11, 2'b1?}: byte_enable_x = 4'b0011;
44488    { 2'b11, 2'b0?}: byte_enable_x = 4'b1100;
44489    { 2'b10, 2'b??}:  byte_enable_x = 4'b1111;
44490    default:                   byte_enable_x = 4'bxxxx;
44491    endcase
44492end
44493
44494
44495
44496
44497
44498
44499
44500
44501
44502
44503
44504
44505
44506
44507
44508
44509
44510
44511
44512
44513
44514
44515
44516
44517
44518
44519
44520
44521
44522
44523
44524
44525
44526
44527
44528
44529
44530
44531
44532
44533
44534
44535
44536
44537
44538
44539
44540
44541
44542
44543
44544
44545
44546
44547
44548
44549
44550
44551
44552
44553
44554
44555
44556
44557
44558
44559
44560
44561
44562
44563
44564
44565
44566
44567
44568   assign data_m = wb_data_m;
44569
44570
44571
44572
44573
44574
44575
44576
44577always @(*)
44578begin
44579    casez ({size_w, load_store_address_w[1:0]})
44580    { 2'b00, 2'b11}:  load_data_w = {{24{sign_extend_w & data_w[7]}}, data_w[7:0]};
44581    { 2'b00, 2'b10}:  load_data_w = {{24{sign_extend_w & data_w[15]}}, data_w[15:8]};
44582    { 2'b00, 2'b01}:  load_data_w = {{24{sign_extend_w & data_w[23]}}, data_w[23:16]};
44583    { 2'b00, 2'b00}:  load_data_w = {{24{sign_extend_w & data_w[31]}}, data_w[31:24]};
44584    { 2'b11, 2'b1?}: load_data_w = {{16{sign_extend_w & data_w[15]}}, data_w[15:0]};
44585    { 2'b11, 2'b0?}: load_data_w = {{16{sign_extend_w & data_w[31]}}, data_w[31:16]};
44586    { 2'b10, 2'b??}:  load_data_w = data_w;
44587    default:                   load_data_w = { 32{1'bx}};
44588    endcase
44589end
44590
44591
44592assign d_bte_o =  2'b00;
44593
44594
44595
44596
44597
44598
44599
44600
44601
44602
44603
44604
44605
44606
44607
44608
44609
44610
44611
44612
44613
44614
44615
44616
44617
44618
44619
44620
44621
44622
44623
44624
44625
44626
44627
44628
44629always @(posedge clk_i  )
44630begin
44631    if (rst_i ==  1'b1)
44632    begin
44633        d_cyc_o <=  1'b0;
44634        d_stb_o <=  1'b0;
44635        d_dat_o <= { 32{1'b0}};
44636        d_adr_o <= { 32{1'b0}};
44637        d_sel_o <= { 4{ 1'b0}};
44638        d_we_o <=  1'b0;
44639        d_cti_o <=  3'b111;
44640        d_lock_o <=  1'b0;
44641        wb_data_m <= { 32{1'b0}};
44642        wb_load_complete <=  1'b0;
44643        stall_wb_load <=  1'b0;
44644
44645
44646
44647
44648    end
44649    else
44650    begin
44651
44652
44653
44654
44655
44656
44657        if (d_cyc_o ==  1'b1)
44658        begin
44659
44660            if ((d_ack_i ==  1'b1) || (d_err_i ==  1'b1))
44661            begin
44662
44663
44664
44665
44666
44667
44668
44669
44670
44671                begin
44672
44673                    d_cyc_o <=  1'b0;
44674                    d_stb_o <=  1'b0;
44675                    d_lock_o <=  1'b0;
44676                end
44677
44678
44679
44680
44681
44682
44683
44684                wb_data_m <= d_dat_i;
44685
44686                wb_load_complete <= !d_we_o;
44687            end
44688
44689        end
44690        else
44691        begin
44692
44693
44694
44695
44696
44697
44698
44699
44700
44701
44702
44703
44704
44705
44706
44707                 if (   (store_q_m ==  1'b1)
44708                     && (stall_m ==  1'b0)
44709
44710
44711
44712
44713
44714
44715
44716
44717                    )
44718            begin
44719
44720                d_dat_o <= store_data_m;
44721                d_adr_o <= load_store_address_m;
44722                d_cyc_o <=  1'b1;
44723                d_sel_o <= byte_enable_m;
44724                d_stb_o <=  1'b1;
44725                d_we_o <=  1'b1;
44726                d_cti_o <=  3'b111;
44727            end
44728            else if (   (load_q_m ==  1'b1)
44729                     && (wb_select_m ==  1'b1)
44730                     && (wb_load_complete ==  1'b0)
44731
44732                    )
44733            begin
44734
44735                stall_wb_load <=  1'b0;
44736                d_adr_o <= load_store_address_m;
44737                d_cyc_o <=  1'b1;
44738                d_sel_o <= byte_enable_m;
44739                d_stb_o <=  1'b1;
44740                d_we_o <=  1'b0;
44741                d_cti_o <=  3'b111;
44742            end
44743        end
44744
44745        if (stall_m ==  1'b0)
44746            wb_load_complete <=  1'b0;
44747
44748        if ((load_q_x ==  1'b1) && (wb_select_x ==  1'b1) && (stall_x ==  1'b0))
44749            stall_wb_load <=  1'b1;
44750
44751        if ((kill_m ==  1'b1) || (exception_m ==  1'b1))
44752            stall_wb_load <=  1'b0;
44753    end
44754end
44755
44756
44757
44758
44759always @(posedge clk_i  )
44760begin
44761    if (rst_i ==  1'b1)
44762    begin
44763        sign_extend_m <=  1'b0;
44764        size_m <= 2'b00;
44765        byte_enable_m <=  1'b0;
44766        store_data_m <= { 32{1'b0}};
44767
44768
44769
44770
44771
44772
44773
44774
44775
44776
44777
44778
44779
44780        wb_select_m <=  1'b0;
44781    end
44782    else
44783    begin
44784        if (stall_m ==  1'b0)
44785        begin
44786            sign_extend_m <= sign_extend_x;
44787            size_m <= size_x;
44788            byte_enable_m <= byte_enable_x;
44789            store_data_m <= store_data_x;
44790
44791
44792
44793
44794
44795
44796
44797
44798
44799
44800
44801
44802
44803            wb_select_m <= wb_select_x;
44804        end
44805    end
44806end
44807
44808
44809always @(posedge clk_i  )
44810begin
44811    if (rst_i ==  1'b1)
44812    begin
44813        size_w <= 2'b00;
44814        data_w <= { 32{1'b0}};
44815        sign_extend_w <=  1'b0;
44816    end
44817    else
44818    begin
44819        size_w <= size_m;
44820
44821
44822
44823
44824
44825        data_w <= data_m;
44826
44827        sign_extend_w <= sign_extend_m;
44828    end
44829end
44830
44831
44832
44833
44834
44835
44836
44837endmodule
44838
44839
44840
44841
44842
44843
44844
44845
44846
44847
44848
44849
44850
44851
44852
44853
44854
44855
44856
44857
44858
44859
44860
44861
44862
44863
44864
44865
44866
44867
44868
44869
44870
44871
44872
44873
44874
44875
44876
44877
44878
44879
44880
44881
44882
44883
44884
44885
44886
44887
44888
44889
44890
44891
44892
44893
44894
44895
44896
44897
44898
44899
44900
44901
44902
44903
44904
44905
44906
44907
44908
44909
44910
44911
44912
44913
44914
44915
44916
44917
44918
44919
44920
44921
44922
44923
44924
44925
44926
44927
44928
44929
44930
44931
44932
44933
44934
44935
44936
44937
44938
44939
44940
44941
44942
44943
44944
44945
44946
44947
44948
44949
44950
44951
44952
44953
44954
44955
44956
44957
44958
44959
44960
44961
44962
44963
44964
44965
44966
44967
44968
44969
44970
44971
44972
44973
44974
44975
44976
44977
44978
44979
44980
44981
44982
44983
44984
44985
44986
44987
44988
44989
44990
44991
44992
44993
44994
44995
44996
44997
44998
44999
45000
45001
45002
45003
45004
45005
45006
45007
45008
45009
45010
45011
45012
45013
45014
45015
45016
45017
45018
45019
45020
45021
45022
45023
45024
45025
45026
45027
45028
45029
45030
45031
45032
45033
45034
45035
45036
45037
45038
45039
45040
45041
45042
45043
45044
45045
45046
45047
45048
45049
45050
45051
45052
45053
45054
45055
45056
45057
45058
45059
45060
45061
45062
45063
45064
45065
45066
45067
45068
45069
45070
45071
45072
45073
45074
45075
45076
45077
45078
45079
45080
45081
45082
45083
45084
45085
45086
45087
45088
45089
45090
45091
45092
45093
45094
45095
45096
45097
45098
45099
45100
45101
45102
45103
45104
45105
45106
45107
45108
45109
45110
45111
45112
45113
45114
45115
45116
45117
45118
45119
45120
45121
45122
45123
45124
45125
45126
45127
45128
45129
45130
45131
45132
45133
45134
45135
45136
45137
45138
45139
45140
45141
45142
45143
45144
45145
45146
45147
45148
45149
45150
45151
45152
45153
45154
45155
45156
45157
45158
45159
45160
45161
45162
45163
45164
45165
45166
45167
45168
45169
45170
45171
45172
45173
45174
45175
45176
45177
45178
45179
45180
45181
45182
45183
45184
45185
45186
45187
45188
45189
45190
45191
45192
45193
45194
45195
45196
45197
45198
45199
45200
45201
45202
45203
45204
45205
45206
45207
45208
45209
45210
45211
45212
45213
45214
45215
45216
45217
45218
45219
45220
45221
45222
45223
45224
45225
45226
45227
45228
45229
45230
45231
45232
45233
45234
45235
45236
45237
45238
45239
45240
45241
45242
45243
45244
45245
45246
45247
45248
45249
45250
45251
45252
45253
45254
45255
45256
45257
45258
45259
45260
45261
45262
45263
45264
45265
45266
45267
45268
45269
45270
45271
45272
45273
45274
45275
45276
45277
45278
45279
45280
45281
45282
45283
45284
45285
45286
45287
45288
45289
45290
45291
45292
45293
45294
45295
45296
45297
45298
45299
45300
45301
45302module lm32_decoder_medium_debug (
45303
45304    instruction,
45305
45306    d_result_sel_0,
45307    d_result_sel_1,
45308    x_result_sel_csr,
45309
45310
45311
45312
45313
45314
45315
45316
45317
45318
45319    x_result_sel_sext,
45320
45321
45322    x_result_sel_logic,
45323
45324
45325
45326
45327    x_result_sel_add,
45328    m_result_sel_compare,
45329
45330
45331    m_result_sel_shift,
45332
45333
45334    w_result_sel_load,
45335
45336
45337    w_result_sel_mul,
45338
45339
45340    x_bypass_enable,
45341    m_bypass_enable,
45342    read_enable_0,
45343    read_idx_0,
45344    read_enable_1,
45345    read_idx_1,
45346    write_enable,
45347    write_idx,
45348    immediate,
45349    branch_offset,
45350    load,
45351    store,
45352    size,
45353    sign_extend,
45354    adder_op,
45355    logic_op,
45356
45357
45358    direction,
45359
45360
45361
45362
45363
45364
45365
45366
45367
45368
45369
45370
45371
45372
45373
45374
45375    branch,
45376    branch_reg,
45377    condition,
45378    bi_conditional,
45379    bi_unconditional,
45380
45381
45382    break_opcode,
45383
45384
45385    scall,
45386    eret,
45387
45388
45389    bret,
45390
45391
45392
45393
45394
45395
45396    csr_write_enable
45397    );
45398
45399
45400
45401
45402
45403input [ (32-1):0] instruction;
45404
45405
45406
45407
45408
45409output [ 0:0] d_result_sel_0;
45410reg    [ 0:0] d_result_sel_0;
45411output [ 1:0] d_result_sel_1;
45412reg    [ 1:0] d_result_sel_1;
45413output x_result_sel_csr;
45414reg    x_result_sel_csr;
45415
45416
45417
45418
45419
45420
45421
45422
45423
45424
45425
45426
45427output x_result_sel_sext;
45428reg    x_result_sel_sext;
45429
45430
45431output x_result_sel_logic;
45432reg    x_result_sel_logic;
45433
45434
45435
45436
45437
45438output x_result_sel_add;
45439reg    x_result_sel_add;
45440output m_result_sel_compare;
45441reg    m_result_sel_compare;
45442
45443
45444output m_result_sel_shift;
45445reg    m_result_sel_shift;
45446
45447
45448output w_result_sel_load;
45449reg    w_result_sel_load;
45450
45451
45452output w_result_sel_mul;
45453reg    w_result_sel_mul;
45454
45455
45456output x_bypass_enable;
45457wire   x_bypass_enable;
45458output m_bypass_enable;
45459wire   m_bypass_enable;
45460output read_enable_0;
45461wire   read_enable_0;
45462output [ (5-1):0] read_idx_0;
45463wire   [ (5-1):0] read_idx_0;
45464output read_enable_1;
45465wire   read_enable_1;
45466output [ (5-1):0] read_idx_1;
45467wire   [ (5-1):0] read_idx_1;
45468output write_enable;
45469wire   write_enable;
45470output [ (5-1):0] write_idx;
45471wire   [ (5-1):0] write_idx;
45472output [ (32-1):0] immediate;
45473wire   [ (32-1):0] immediate;
45474output [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_offset;
45475wire   [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_offset;
45476output load;
45477wire   load;
45478output store;
45479wire   store;
45480output [ 1:0] size;
45481wire   [ 1:0] size;
45482output sign_extend;
45483wire   sign_extend;
45484output adder_op;
45485wire   adder_op;
45486output [ 3:0] logic_op;
45487wire   [ 3:0] logic_op;
45488
45489
45490output direction;
45491wire   direction;
45492
45493
45494
45495
45496
45497
45498
45499
45500
45501
45502
45503
45504
45505
45506
45507
45508
45509
45510
45511
45512
45513output branch;
45514wire   branch;
45515output branch_reg;
45516wire   branch_reg;
45517output [ (3-1):0] condition;
45518wire   [ (3-1):0] condition;
45519output bi_conditional;
45520wire bi_conditional;
45521output bi_unconditional;
45522wire bi_unconditional;
45523
45524
45525output break_opcode;
45526wire   break_opcode;
45527
45528
45529output scall;
45530wire   scall;
45531output eret;
45532wire   eret;
45533
45534
45535output bret;
45536wire   bret;
45537
45538
45539
45540
45541
45542
45543
45544output csr_write_enable;
45545wire   csr_write_enable;
45546
45547
45548
45549
45550
45551wire [ (32-1):0] extended_immediate;
45552wire [ (32-1):0] high_immediate;
45553wire [ (32-1):0] call_immediate;
45554wire [ (32-1):0] branch_immediate;
45555wire sign_extend_immediate;
45556wire select_high_immediate;
45557wire select_call_immediate;
45558
45559wire op_add;
45560wire op_and;
45561wire op_andhi;
45562wire op_b;
45563wire op_bi;
45564wire op_be;
45565wire op_bg;
45566wire op_bge;
45567wire op_bgeu;
45568wire op_bgu;
45569wire op_bne;
45570wire op_call;
45571wire op_calli;
45572wire op_cmpe;
45573wire op_cmpg;
45574wire op_cmpge;
45575wire op_cmpgeu;
45576wire op_cmpgu;
45577wire op_cmpne;
45578
45579
45580
45581
45582wire op_lb;
45583wire op_lbu;
45584wire op_lh;
45585wire op_lhu;
45586wire op_lw;
45587
45588
45589
45590
45591
45592
45593wire op_mul;
45594
45595
45596wire op_nor;
45597wire op_or;
45598wire op_orhi;
45599wire op_raise;
45600wire op_rcsr;
45601wire op_sb;
45602
45603
45604wire op_sextb;
45605wire op_sexth;
45606
45607
45608wire op_sh;
45609
45610
45611wire op_sl;
45612
45613
45614wire op_sr;
45615wire op_sru;
45616wire op_sub;
45617wire op_sw;
45618
45619
45620
45621
45622wire op_wcsr;
45623wire op_xnor;
45624wire op_xor;
45625
45626wire arith;
45627wire logical;
45628wire cmp;
45629wire bra;
45630wire call;
45631
45632
45633wire shift;
45634
45635
45636
45637
45638
45639
45640
45641
45642wire sext;
45643
45644
45645
45646
45647
45648
45649
45650
45651
45652
45653
45654
45655
45656
45657
45658
45659
45660
45661
45662
45663
45664
45665
45666
45667
45668
45669
45670
45671
45672
45673
45674
45675
45676
45677
45678
45679
45680function integer clogb2;
45681input [31:0] value;
45682begin
45683   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
45684        value = value >> 1;
45685end
45686endfunction
45687
45688function integer clogb2_v1;
45689input [31:0] value;
45690reg   [31:0] i;
45691reg   [31:0] temp;
45692begin
45693   temp = 0;
45694   i    = 0;
45695   for (i = 0; temp < value; i = i + 1)
45696	temp = 1<<i;
45697   clogb2_v1 = i-1;
45698end
45699endfunction
45700
45701
45702
45703
45704
45705
45706
45707
45708
45709assign op_add    = instruction[ 30:26] ==  5'b01101;
45710assign op_and    = instruction[ 30:26] ==  5'b01000;
45711assign op_andhi  = instruction[ 31:26] ==  6'b011000;
45712assign op_b      = instruction[ 31:26] ==  6'b110000;
45713assign op_bi     = instruction[ 31:26] ==  6'b111000;
45714assign op_be     = instruction[ 31:26] ==  6'b010001;
45715assign op_bg     = instruction[ 31:26] ==  6'b010010;
45716assign op_bge    = instruction[ 31:26] ==  6'b010011;
45717assign op_bgeu   = instruction[ 31:26] ==  6'b010100;
45718assign op_bgu    = instruction[ 31:26] ==  6'b010101;
45719assign op_bne    = instruction[ 31:26] ==  6'b010111;
45720assign op_call   = instruction[ 31:26] ==  6'b110110;
45721assign op_calli  = instruction[ 31:26] ==  6'b111110;
45722assign op_cmpe   = instruction[ 30:26] ==  5'b11001;
45723assign op_cmpg   = instruction[ 30:26] ==  5'b11010;
45724assign op_cmpge  = instruction[ 30:26] ==  5'b11011;
45725assign op_cmpgeu = instruction[ 30:26] ==  5'b11100;
45726assign op_cmpgu  = instruction[ 30:26] ==  5'b11101;
45727assign op_cmpne  = instruction[ 30:26] ==  5'b11111;
45728
45729
45730
45731
45732assign op_lb     = instruction[ 31:26] ==  6'b000100;
45733assign op_lbu    = instruction[ 31:26] ==  6'b010000;
45734assign op_lh     = instruction[ 31:26] ==  6'b000111;
45735assign op_lhu    = instruction[ 31:26] ==  6'b001011;
45736assign op_lw     = instruction[ 31:26] ==  6'b001010;
45737
45738
45739
45740
45741
45742
45743assign op_mul    = instruction[ 30:26] ==  5'b00010;
45744
45745
45746assign op_nor    = instruction[ 30:26] ==  5'b00001;
45747assign op_or     = instruction[ 30:26] ==  5'b01110;
45748assign op_orhi   = instruction[ 31:26] ==  6'b011110;
45749assign op_raise  = instruction[ 31:26] ==  6'b101011;
45750assign op_rcsr   = instruction[ 31:26] ==  6'b100100;
45751assign op_sb     = instruction[ 31:26] ==  6'b001100;
45752
45753
45754assign op_sextb  = instruction[ 31:26] ==  6'b101100;
45755assign op_sexth  = instruction[ 31:26] ==  6'b110111;
45756
45757
45758assign op_sh     = instruction[ 31:26] ==  6'b000011;
45759
45760
45761assign op_sl     = instruction[ 30:26] ==  5'b01111;
45762
45763
45764assign op_sr     = instruction[ 30:26] ==  5'b00101;
45765assign op_sru    = instruction[ 30:26] ==  5'b00000;
45766assign op_sub    = instruction[ 31:26] ==  6'b110010;
45767assign op_sw     = instruction[ 31:26] ==  6'b010110;
45768
45769
45770
45771
45772assign op_wcsr   = instruction[ 31:26] ==  6'b110100;
45773assign op_xnor   = instruction[ 30:26] ==  5'b01001;
45774assign op_xor    = instruction[ 30:26] ==  5'b00110;
45775
45776
45777assign arith = op_add | op_sub;
45778assign logical = op_and | op_andhi | op_nor | op_or | op_orhi | op_xor | op_xnor;
45779assign cmp = op_cmpe | op_cmpg | op_cmpge | op_cmpgeu | op_cmpgu | op_cmpne;
45780assign bi_conditional = op_be | op_bg | op_bge | op_bgeu  | op_bgu | op_bne;
45781assign bi_unconditional = op_bi;
45782assign bra = op_b | bi_unconditional | bi_conditional;
45783assign call = op_call | op_calli;
45784
45785
45786assign shift = op_sl | op_sr | op_sru;
45787
45788
45789
45790
45791
45792
45793
45794
45795
45796
45797
45798
45799
45800assign sext = op_sextb | op_sexth;
45801
45802
45803
45804
45805
45806
45807
45808
45809
45810
45811
45812assign load = op_lb | op_lbu | op_lh | op_lhu | op_lw;
45813assign store = op_sb | op_sh | op_sw;
45814
45815
45816always @(*)
45817begin
45818
45819    if (call)
45820        d_result_sel_0 =  1'b1;
45821    else
45822        d_result_sel_0 =  1'b0;
45823    if (call)
45824        d_result_sel_1 =  2'b00;
45825    else if ((instruction[31] == 1'b0) && !bra)
45826        d_result_sel_1 =  2'b10;
45827    else
45828        d_result_sel_1 =  2'b01;
45829
45830    x_result_sel_csr =  1'b0;
45831
45832
45833
45834
45835
45836
45837
45838
45839
45840
45841    x_result_sel_sext =  1'b0;
45842
45843
45844    x_result_sel_logic =  1'b0;
45845
45846
45847
45848
45849    x_result_sel_add =  1'b0;
45850    if (op_rcsr)
45851        x_result_sel_csr =  1'b1;
45852
45853
45854
45855
45856
45857
45858
45859
45860
45861
45862
45863
45864
45865
45866
45867
45868
45869
45870
45871
45872
45873
45874    else if (sext)
45875        x_result_sel_sext =  1'b1;
45876
45877
45878    else if (logical)
45879        x_result_sel_logic =  1'b1;
45880
45881
45882
45883
45884
45885    else
45886        x_result_sel_add =  1'b1;
45887
45888
45889
45890    m_result_sel_compare = cmp;
45891
45892
45893    m_result_sel_shift = shift;
45894
45895
45896
45897
45898    w_result_sel_load = load;
45899
45900
45901    w_result_sel_mul = op_mul;
45902
45903
45904end
45905
45906
45907assign x_bypass_enable =  arith
45908                        | logical
45909
45910
45911
45912
45913
45914
45915
45916
45917
45918
45919
45920
45921
45922
45923
45924
45925
45926
45927
45928
45929                        | sext
45930
45931
45932
45933
45934
45935
45936                        | op_rcsr
45937                        ;
45938
45939assign m_bypass_enable = x_bypass_enable
45940
45941
45942                        | shift
45943
45944
45945                        | cmp
45946                        ;
45947
45948assign read_enable_0 = ~(op_bi | op_calli);
45949assign read_idx_0 = instruction[25:21];
45950
45951assign read_enable_1 = ~(op_bi | op_calli | load);
45952assign read_idx_1 = instruction[20:16];
45953
45954assign write_enable = ~(bra | op_raise | store | op_wcsr);
45955assign write_idx = call
45956                    ? 5'd29
45957                    : instruction[31] == 1'b0
45958                        ? instruction[20:16]
45959                        : instruction[15:11];
45960
45961
45962assign size = instruction[27:26];
45963
45964assign sign_extend = instruction[28];
45965
45966assign adder_op = op_sub | op_cmpe | op_cmpg | op_cmpge | op_cmpgeu | op_cmpgu | op_cmpne | bra;
45967
45968assign logic_op = instruction[29:26];
45969
45970
45971
45972assign direction = instruction[29];
45973
45974
45975
45976assign branch = bra | call;
45977assign branch_reg = op_call | op_b;
45978assign condition = instruction[28:26];
45979
45980
45981assign break_opcode = op_raise & ~instruction[2];
45982
45983
45984assign scall = op_raise & instruction[2];
45985assign eret = op_b & (instruction[25:21] == 5'd30);
45986
45987
45988assign bret = op_b & (instruction[25:21] == 5'd31);
45989
45990
45991
45992
45993
45994
45995
45996
45997assign csr_write_enable = op_wcsr;
45998
45999
46000
46001assign sign_extend_immediate = ~(op_and | op_cmpgeu | op_cmpgu | op_nor | op_or | op_xnor | op_xor);
46002assign select_high_immediate = op_andhi | op_orhi;
46003assign select_call_immediate = instruction[31];
46004
46005assign high_immediate = {instruction[15:0], 16'h0000};
46006assign extended_immediate = {{16{sign_extend_immediate & instruction[15]}}, instruction[15:0]};
46007assign call_immediate = {{6{instruction[25]}}, instruction[25:0]};
46008assign branch_immediate = {{16{instruction[15]}}, instruction[15:0]};
46009
46010assign immediate = select_high_immediate ==  1'b1
46011                        ? high_immediate
46012                        : extended_immediate;
46013
46014assign branch_offset = select_call_immediate ==  1'b1
46015                        ? (call_immediate[ (clogb2(32'h7fffffff-32'h0)-2)-1:0])
46016                        : (branch_immediate[ (clogb2(32'h7fffffff-32'h0)-2)-1:0]);
46017
46018endmodule
46019
46020
46021
46022
46023
46024
46025
46026
46027
46028
46029
46030
46031
46032
46033
46034
46035
46036
46037
46038
46039
46040
46041
46042
46043
46044
46045
46046
46047
46048
46049
46050
46051
46052
46053
46054
46055
46056
46057
46058
46059
46060
46061
46062
46063
46064
46065
46066
46067
46068
46069
46070
46071
46072
46073
46074
46075
46076
46077
46078
46079
46080
46081
46082
46083
46084
46085
46086
46087
46088
46089
46090
46091
46092
46093
46094
46095
46096
46097
46098
46099
46100
46101
46102
46103
46104
46105
46106
46107
46108
46109
46110
46111
46112
46113
46114
46115
46116
46117
46118
46119
46120
46121
46122
46123
46124
46125
46126
46127
46128
46129
46130
46131
46132
46133
46134
46135
46136
46137
46138
46139
46140
46141
46142
46143
46144
46145
46146
46147
46148
46149
46150
46151
46152
46153
46154
46155
46156
46157
46158
46159
46160
46161
46162
46163
46164
46165
46166
46167
46168
46169
46170
46171
46172
46173
46174
46175
46176
46177
46178
46179
46180
46181
46182
46183
46184
46185
46186
46187
46188
46189
46190
46191
46192
46193
46194
46195
46196
46197
46198
46199
46200
46201
46202
46203
46204
46205
46206
46207
46208
46209
46210
46211
46212
46213
46214
46215
46216
46217
46218
46219
46220
46221
46222
46223
46224
46225
46226
46227
46228
46229
46230
46231
46232
46233
46234
46235
46236
46237
46238
46239
46240
46241
46242
46243
46244
46245
46246
46247
46248
46249
46250
46251
46252
46253
46254
46255
46256
46257
46258
46259
46260
46261
46262
46263
46264
46265
46266
46267
46268
46269
46270
46271
46272
46273
46274
46275
46276
46277
46278
46279
46280
46281
46282
46283
46284
46285
46286
46287
46288
46289
46290
46291
46292
46293
46294
46295
46296
46297
46298
46299
46300
46301
46302
46303
46304
46305
46306
46307
46308
46309
46310
46311
46312
46313
46314
46315
46316
46317
46318
46319
46320
46321
46322
46323
46324
46325
46326
46327
46328
46329
46330
46331
46332
46333
46334
46335
46336
46337
46338
46339
46340
46341
46342
46343
46344
46345
46346
46347
46348
46349
46350
46351
46352
46353
46354
46355
46356
46357
46358
46359
46360
46361
46362
46363
46364
46365
46366
46367
46368
46369
46370
46371
46372
46373
46374
46375
46376
46377
46378
46379
46380
46381
46382
46383
46384
46385
46386
46387
46388
46389
46390
46391
46392
46393
46394
46395
46396
46397
46398
46399
46400
46401
46402
46403
46404
46405
46406
46407
46408
46409
46410
46411
46412
46413
46414
46415
46416
46417
46418
46419
46420
46421
46422
46423
46424
46425
46426
46427
46428module lm32_icache_medium_debug (
46429
46430    clk_i,
46431    rst_i,
46432    stall_a,
46433    stall_f,
46434    address_a,
46435    address_f,
46436    read_enable_f,
46437    refill_ready,
46438    refill_data,
46439    iflush,
46440
46441
46442
46443
46444    valid_d,
46445    branch_predict_taken_d,
46446
46447    stall_request,
46448    restart_request,
46449    refill_request,
46450    refill_address,
46451    refilling,
46452    inst
46453    );
46454
46455
46456
46457
46458
46459parameter associativity = 1;
46460parameter sets = 512;
46461parameter bytes_per_line = 16;
46462parameter base_address = 0;
46463parameter limit = 0;
46464
46465localparam addr_offset_width = clogb2(bytes_per_line)-1-2;
46466localparam addr_set_width = clogb2(sets)-1;
46467localparam addr_offset_lsb = 2;
46468localparam addr_offset_msb = (addr_offset_lsb+addr_offset_width-1);
46469localparam addr_set_lsb = (addr_offset_msb+1);
46470localparam addr_set_msb = (addr_set_lsb+addr_set_width-1);
46471localparam addr_tag_lsb = (addr_set_msb+1);
46472localparam addr_tag_msb = clogb2( 32'h7fffffff- 32'h0)-1;
46473localparam addr_tag_width = (addr_tag_msb-addr_tag_lsb+1);
46474
46475
46476
46477
46478
46479input clk_i;
46480input rst_i;
46481
46482input stall_a;
46483input stall_f;
46484
46485input valid_d;
46486input branch_predict_taken_d;
46487
46488input [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] address_a;
46489input [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] address_f;
46490input read_enable_f;
46491
46492input refill_ready;
46493input [ (32-1):0] refill_data;
46494
46495input iflush;
46496
46497
46498
46499
46500
46501
46502
46503
46504
46505output stall_request;
46506wire   stall_request;
46507output restart_request;
46508reg    restart_request;
46509output refill_request;
46510wire   refill_request;
46511output [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] refill_address;
46512reg    [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] refill_address;
46513output refilling;
46514reg    refilling;
46515output [ (32-1):0] inst;
46516wire   [ (32-1):0] inst;
46517
46518
46519
46520
46521
46522wire enable;
46523wire [0:associativity-1] way_mem_we;
46524wire [ (32-1):0] way_data[0:associativity-1];
46525wire [ ((addr_tag_width+1)-1):1] way_tag[0:associativity-1];
46526wire [0:associativity-1] way_valid;
46527wire [0:associativity-1] way_match;
46528wire miss;
46529
46530wire [ (addr_set_width-1):0] tmem_read_address;
46531wire [ (addr_set_width-1):0] tmem_write_address;
46532wire [ ((addr_offset_width+addr_set_width)-1):0] dmem_read_address;
46533wire [ ((addr_offset_width+addr_set_width)-1):0] dmem_write_address;
46534wire [ ((addr_tag_width+1)-1):0] tmem_write_data;
46535
46536reg [ 3:0] state;
46537wire flushing;
46538wire check;
46539wire refill;
46540
46541reg [associativity-1:0] refill_way_select;
46542reg [ addr_offset_msb:addr_offset_lsb] refill_offset;
46543wire last_refill;
46544reg [ (addr_set_width-1):0] flush_set;
46545
46546genvar i;
46547
46548
46549
46550
46551
46552
46553
46554
46555
46556
46557
46558
46559
46560
46561
46562
46563
46564
46565
46566
46567
46568
46569
46570
46571
46572
46573
46574
46575
46576
46577
46578
46579
46580
46581
46582function integer clogb2;
46583input [31:0] value;
46584begin
46585   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
46586        value = value >> 1;
46587end
46588endfunction
46589
46590function integer clogb2_v1;
46591input [31:0] value;
46592reg   [31:0] i;
46593reg   [31:0] temp;
46594begin
46595   temp = 0;
46596   i    = 0;
46597   for (i = 0; temp < value; i = i + 1)
46598	temp = 1<<i;
46599   clogb2_v1 = i-1;
46600end
46601endfunction
46602
46603
46604
46605
46606
46607
46608
46609
46610   generate
46611      for (i = 0; i < associativity; i = i + 1)
46612	begin : memories
46613
46614	   lm32_ram
46615	     #(
46616
46617	       .data_width                 (32),
46618	       .address_width              ( (addr_offset_width+addr_set_width))
46619
46620)
46621	   way_0_data_ram
46622	     (
46623
46624	      .read_clk                   (clk_i),
46625	      .write_clk                  (clk_i),
46626	      .reset                      (rst_i),
46627	      .read_address               (dmem_read_address),
46628	      .enable_read                (enable),
46629	      .write_address              (dmem_write_address),
46630	      .enable_write               ( 1'b1),
46631	      .write_enable               (way_mem_we[i]),
46632	      .write_data                 (refill_data),
46633
46634	      .read_data                  (way_data[i])
46635	      );
46636
46637	   lm32_ram
46638	     #(
46639
46640	       .data_width                 ( (addr_tag_width+1)),
46641	       .address_width              ( addr_set_width)
46642
46643	       )
46644	   way_0_tag_ram
46645	     (
46646
46647	      .read_clk                   (clk_i),
46648	      .write_clk                  (clk_i),
46649	      .reset                      (rst_i),
46650	      .read_address               (tmem_read_address),
46651	      .enable_read                (enable),
46652	      .write_address              (tmem_write_address),
46653	      .enable_write               ( 1'b1),
46654	      .write_enable               (way_mem_we[i] | flushing),
46655	      .write_data                 (tmem_write_data),
46656
46657	      .read_data                  ({way_tag[i], way_valid[i]})
46658	      );
46659
46660	end
46661endgenerate
46662
46663
46664
46665
46666
46667
46668generate
46669    for (i = 0; i < associativity; i = i + 1)
46670    begin : match
46671assign way_match[i] = ({way_tag[i], way_valid[i]} == {address_f[ addr_tag_msb:addr_tag_lsb],  1'b1});
46672    end
46673endgenerate
46674
46675
46676generate
46677    if (associativity == 1)
46678    begin : inst_1
46679assign inst = way_match[0] ? way_data[0] : 32'b0;
46680    end
46681    else if (associativity == 2)
46682	 begin : inst_2
46683assign inst = way_match[0] ? way_data[0] : (way_match[1] ? way_data[1] : 32'b0);
46684    end
46685endgenerate
46686
46687
46688generate
46689    if (bytes_per_line > 4)
46690assign dmem_write_address = {refill_address[ addr_set_msb:addr_set_lsb], refill_offset};
46691    else
46692assign dmem_write_address = refill_address[ addr_set_msb:addr_set_lsb];
46693endgenerate
46694
46695assign dmem_read_address = address_a[ addr_set_msb:addr_offset_lsb];
46696
46697
46698assign tmem_read_address = address_a[ addr_set_msb:addr_set_lsb];
46699assign tmem_write_address = flushing
46700                                ? flush_set
46701                                : refill_address[ addr_set_msb:addr_set_lsb];
46702
46703
46704generate
46705    if (bytes_per_line > 4)
46706assign last_refill = refill_offset == {addr_offset_width{1'b1}};
46707    else
46708assign last_refill =  1'b1;
46709endgenerate
46710
46711
46712assign enable = (stall_a ==  1'b0);
46713
46714
46715generate
46716    if (associativity == 1)
46717    begin : we_1
46718assign way_mem_we[0] = (refill_ready ==  1'b1);
46719    end
46720    else
46721    begin : we_2
46722assign way_mem_we[0] = (refill_ready ==  1'b1) && (refill_way_select[0] ==  1'b1);
46723assign way_mem_we[1] = (refill_ready ==  1'b1) && (refill_way_select[1] ==  1'b1);
46724    end
46725endgenerate
46726
46727
46728assign tmem_write_data[ 0] = last_refill & !flushing;
46729assign tmem_write_data[ ((addr_tag_width+1)-1):1] = refill_address[ addr_tag_msb:addr_tag_lsb];
46730
46731
46732assign flushing = |state[1:0];
46733assign check = state[2];
46734assign refill = state[3];
46735
46736assign miss = (~(|way_match)) && (read_enable_f ==  1'b1) && (stall_f ==  1'b0) && !(valid_d && branch_predict_taken_d);
46737assign stall_request = (check ==  1'b0);
46738assign refill_request = (refill ==  1'b1);
46739
46740
46741
46742
46743
46744
46745generate
46746    if (associativity >= 2)
46747    begin : way_select
46748always @(posedge clk_i  )
46749begin
46750    if (rst_i ==  1'b1)
46751        refill_way_select <= {{associativity-1{1'b0}}, 1'b1};
46752    else
46753    begin
46754        if (miss ==  1'b1)
46755            refill_way_select <= {refill_way_select[0], refill_way_select[1]};
46756    end
46757end
46758    end
46759endgenerate
46760
46761
46762always @(posedge clk_i  )
46763begin
46764    if (rst_i ==  1'b1)
46765        refilling <=  1'b0;
46766    else
46767        refilling <= refill;
46768end
46769
46770
46771always @(posedge clk_i  )
46772begin
46773    if (rst_i ==  1'b1)
46774    begin
46775        state <=  4'b0001;
46776        flush_set <= { addr_set_width{1'b1}};
46777        refill_address <= { (clogb2(32'h7fffffff-32'h0)-2){1'bx}};
46778        restart_request <=  1'b0;
46779    end
46780    else
46781    begin
46782        case (state)
46783
46784
46785         4'b0001:
46786        begin
46787            if (flush_set == { addr_set_width{1'b0}})
46788                state <=  4'b0100;
46789            flush_set <= flush_set - 1'b1;
46790        end
46791
46792
46793         4'b0010:
46794        begin
46795            if (flush_set == { addr_set_width{1'b0}})
46796
46797
46798
46799
46800
46801
46802		state <=  4'b0100;
46803
46804            flush_set <= flush_set - 1'b1;
46805        end
46806
46807
46808         4'b0100:
46809        begin
46810            if (stall_a ==  1'b0)
46811                restart_request <=  1'b0;
46812            if (iflush ==  1'b1)
46813            begin
46814                refill_address <= address_f;
46815                state <=  4'b0010;
46816            end
46817            else if (miss ==  1'b1)
46818            begin
46819                refill_address <= address_f;
46820                state <=  4'b1000;
46821            end
46822        end
46823
46824
46825         4'b1000:
46826        begin
46827            if (refill_ready ==  1'b1)
46828            begin
46829                if (last_refill ==  1'b1)
46830                begin
46831                    restart_request <=  1'b1;
46832                    state <=  4'b0100;
46833                end
46834            end
46835        end
46836
46837        endcase
46838    end
46839end
46840
46841generate
46842    if (bytes_per_line > 4)
46843    begin
46844
46845always @(posedge clk_i  )
46846begin
46847    if (rst_i ==  1'b1)
46848        refill_offset <= {addr_offset_width{1'b0}};
46849    else
46850    begin
46851        case (state)
46852
46853
46854         4'b0100:
46855        begin
46856            if (iflush ==  1'b1)
46857                refill_offset <= {addr_offset_width{1'b0}};
46858            else if (miss ==  1'b1)
46859                refill_offset <= {addr_offset_width{1'b0}};
46860        end
46861
46862
46863         4'b1000:
46864        begin
46865            if (refill_ready ==  1'b1)
46866                refill_offset <= refill_offset + 1'b1;
46867        end
46868
46869        endcase
46870    end
46871end
46872    end
46873endgenerate
46874
46875endmodule
46876
46877
46878
46879
46880
46881
46882
46883
46884
46885
46886
46887
46888
46889
46890
46891
46892
46893
46894
46895
46896
46897
46898
46899
46900
46901
46902
46903
46904
46905
46906
46907
46908
46909
46910
46911
46912
46913
46914
46915
46916
46917
46918
46919
46920
46921
46922
46923
46924
46925
46926
46927
46928
46929
46930
46931
46932
46933
46934
46935
46936
46937
46938
46939
46940
46941
46942
46943
46944
46945
46946
46947
46948
46949
46950
46951
46952
46953
46954
46955
46956
46957
46958
46959
46960
46961
46962
46963
46964
46965
46966
46967
46968
46969
46970
46971
46972
46973
46974
46975
46976
46977
46978
46979
46980
46981
46982
46983
46984
46985
46986
46987
46988
46989
46990
46991
46992
46993
46994
46995
46996
46997
46998
46999
47000
47001
47002
47003
47004
47005
47006
47007
47008
47009
47010
47011
47012
47013
47014
47015
47016
47017
47018
47019
47020
47021
47022
47023
47024
47025
47026
47027
47028
47029
47030
47031
47032
47033
47034
47035
47036
47037
47038
47039
47040
47041
47042
47043
47044
47045
47046
47047
47048
47049
47050
47051
47052
47053
47054
47055
47056
47057
47058
47059
47060
47061
47062
47063
47064
47065
47066
47067
47068
47069
47070
47071
47072
47073
47074
47075
47076
47077
47078
47079
47080
47081
47082
47083
47084
47085
47086
47087
47088
47089
47090
47091
47092
47093
47094
47095
47096
47097
47098
47099
47100
47101
47102
47103
47104
47105
47106
47107
47108
47109
47110
47111
47112
47113
47114
47115
47116
47117
47118
47119
47120
47121
47122
47123
47124
47125
47126
47127
47128
47129
47130
47131
47132
47133
47134
47135
47136
47137
47138
47139
47140
47141
47142
47143
47144
47145
47146
47147
47148
47149
47150
47151
47152
47153
47154
47155
47156
47157
47158
47159
47160
47161
47162
47163
47164
47165
47166
47167
47168
47169
47170
47171
47172
47173
47174
47175
47176
47177
47178
47179
47180
47181
47182
47183
47184
47185
47186
47187
47188
47189
47190
47191
47192
47193
47194
47195
47196
47197
47198
47199
47200
47201
47202
47203
47204
47205
47206
47207
47208
47209
47210
47211
47212
47213
47214
47215
47216
47217
47218
47219
47220
47221
47222
47223
47224
47225
47226
47227
47228
47229
47230
47231
47232
47233
47234
47235
47236
47237
47238
47239
47240
47241
47242
47243
47244
47245
47246
47247
47248
47249
47250
47251
47252
47253
47254
47255
47256
47257
47258
47259
47260
47261
47262
47263
47264
47265
47266
47267
47268
47269
47270
47271
47272
47273
47274
47275
47276
47277
47278
47279
47280
47281
47282
47283
47284
47285
47286
47287
47288
47289
47290
47291
47292
47293
47294
47295
47296
47297
47298
47299
47300
47301
47302
47303
47304
47305
47306
47307
47308
47309
47310
47311
47312
47313
47314
47315
47316
47317
47318
47319
47320
47321
47322
47323
47324
47325
47326
47327
47328
47329
47330
47331
47332
47333
47334
47335
47336
47337
47338
47339
47340
47341
47342
47343
47344
47345
47346
47347
47348
47349
47350
47351
47352
47353
47354
47355
47356
47357
47358
47359
47360
47361
47362
47363
47364
47365
47366
47367
47368
47369
47370
47371
47372
47373
47374
47375
47376
47377
47378
47379
47380
47381
47382
47383
47384
47385
47386
47387
47388
47389
47390
47391
47392
47393
47394
47395
47396
47397
47398
47399
47400
47401
47402
47403
47404
47405
47406
47407
47408
47409
47410
47411
47412
47413
47414
47415
47416
47417
47418
47419
47420
47421
47422
47423
47424
47425
47426
47427
47428
47429
47430
47431
47432
47433
47434
47435
47436
47437
47438
47439
47440
47441
47442
47443
47444
47445
47446
47447
47448
47449
47450
47451
47452
47453
47454
47455
47456
47457
47458
47459
47460
47461
47462
47463
47464
47465
47466
47467
47468
47469
47470
47471
47472
47473
47474
47475
47476
47477
47478
47479
47480
47481
47482
47483
47484
47485
47486
47487
47488
47489
47490
47491
47492
47493
47494
47495
47496
47497
47498
47499
47500
47501
47502
47503
47504
47505
47506
47507
47508
47509
47510
47511
47512
47513
47514
47515
47516
47517
47518
47519
47520
47521
47522
47523
47524
47525
47526
47527
47528
47529
47530
47531
47532
47533
47534
47535
47536
47537
47538
47539
47540
47541
47542
47543
47544
47545
47546
47547
47548
47549
47550
47551
47552
47553
47554
47555
47556
47557
47558
47559
47560
47561
47562
47563
47564
47565
47566
47567
47568
47569
47570
47571
47572
47573
47574
47575
47576
47577
47578
47579
47580
47581
47582
47583
47584
47585
47586
47587
47588
47589
47590
47591
47592
47593
47594
47595
47596
47597
47598
47599
47600
47601
47602
47603
47604
47605
47606
47607
47608
47609
47610
47611
47612
47613
47614
47615
47616
47617
47618
47619
47620
47621
47622
47623
47624
47625
47626
47627
47628
47629
47630
47631
47632
47633
47634
47635
47636
47637
47638
47639
47640
47641
47642
47643
47644
47645
47646
47647
47648
47649
47650
47651
47652
47653
47654
47655
47656
47657
47658
47659
47660
47661
47662
47663
47664
47665
47666
47667
47668
47669
47670
47671
47672
47673
47674
47675
47676
47677
47678
47679
47680
47681
47682
47683
47684
47685
47686
47687
47688
47689
47690
47691
47692
47693
47694
47695
47696
47697
47698
47699
47700
47701
47702
47703
47704
47705
47706
47707
47708
47709
47710
47711
47712
47713
47714
47715
47716
47717
47718
47719
47720
47721
47722
47723
47724
47725
47726
47727
47728
47729
47730
47731
47732
47733
47734
47735
47736
47737
47738
47739
47740
47741
47742
47743
47744
47745
47746
47747
47748
47749
47750
47751
47752
47753
47754
47755
47756
47757
47758
47759
47760
47761
47762
47763
47764
47765
47766
47767
47768
47769
47770
47771
47772
47773
47774
47775
47776
47777
47778
47779
47780
47781
47782
47783
47784
47785
47786
47787
47788
47789
47790
47791
47792
47793
47794
47795
47796
47797
47798
47799
47800
47801
47802
47803
47804
47805
47806
47807
47808
47809
47810
47811
47812
47813
47814
47815
47816
47817
47818
47819
47820
47821
47822
47823
47824
47825
47826
47827
47828
47829
47830
47831
47832
47833
47834
47835
47836
47837
47838
47839
47840
47841
47842
47843
47844
47845
47846
47847
47848
47849
47850
47851
47852
47853
47854
47855
47856
47857
47858
47859
47860
47861
47862
47863
47864
47865
47866
47867
47868
47869
47870
47871
47872
47873
47874
47875
47876
47877
47878
47879
47880
47881
47882
47883
47884
47885
47886
47887
47888
47889
47890
47891
47892
47893
47894
47895
47896
47897
47898
47899
47900
47901
47902
47903
47904
47905
47906
47907
47908
47909
47910
47911
47912
47913
47914
47915
47916
47917
47918
47919
47920
47921
47922
47923
47924
47925
47926
47927
47928
47929
47930
47931
47932
47933
47934
47935
47936
47937
47938
47939
47940
47941
47942
47943
47944
47945
47946
47947
47948
47949
47950
47951
47952
47953
47954
47955
47956
47957
47958
47959
47960
47961
47962
47963
47964
47965
47966
47967
47968
47969
47970
47971
47972
47973
47974
47975
47976
47977
47978
47979
47980
47981
47982
47983
47984
47985
47986
47987
47988
47989
47990
47991
47992
47993
47994
47995
47996
47997
47998
47999
48000
48001
48002
48003
48004
48005
48006
48007
48008
48009
48010
48011
48012
48013
48014
48015
48016
48017
48018
48019
48020
48021
48022
48023
48024
48025
48026
48027
48028
48029
48030
48031
48032
48033
48034
48035
48036
48037
48038
48039
48040
48041
48042
48043
48044
48045
48046
48047
48048
48049
48050
48051
48052
48053
48054
48055
48056
48057
48058
48059
48060
48061
48062
48063
48064
48065
48066
48067
48068
48069
48070
48071
48072
48073
48074
48075
48076
48077
48078
48079
48080
48081
48082
48083
48084
48085
48086
48087
48088
48089
48090
48091
48092
48093module lm32_debug_medium_debug (
48094
48095    clk_i,
48096    rst_i,
48097    pc_x,
48098    load_x,
48099    store_x,
48100    load_store_address_x,
48101    csr_write_enable_x,
48102    csr_write_data,
48103    csr_x,
48104
48105
48106
48107
48108    jtag_csr_write_enable,
48109    jtag_csr_write_data,
48110    jtag_csr,
48111
48112
48113
48114
48115
48116
48117
48118
48119
48120
48121
48122
48123
48124
48125    eret_q_x,
48126    bret_q_x,
48127    stall_x,
48128    exception_x,
48129    q_x,
48130
48131
48132
48133
48134
48135
48136
48137
48138
48139
48140    dc_ss,
48141
48142
48143    dc_re,
48144    bp_match,
48145    wp_match
48146    );
48147
48148
48149
48150
48151
48152parameter breakpoints = 0;
48153parameter watchpoints = 0;
48154
48155
48156
48157
48158
48159input clk_i;
48160input rst_i;
48161
48162input [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_x;
48163input load_x;
48164input store_x;
48165input [ (32-1):0] load_store_address_x;
48166input csr_write_enable_x;
48167input [ (32-1):0] csr_write_data;
48168input [ (5-1):0] csr_x;
48169
48170
48171
48172
48173input jtag_csr_write_enable;
48174input [ (32-1):0] jtag_csr_write_data;
48175input [ (5-1):0] jtag_csr;
48176
48177
48178
48179
48180
48181
48182
48183
48184
48185
48186
48187
48188
48189
48190input eret_q_x;
48191input bret_q_x;
48192input stall_x;
48193input exception_x;
48194input q_x;
48195
48196
48197
48198
48199
48200
48201
48202
48203
48204
48205
48206
48207
48208output dc_ss;
48209reg    dc_ss;
48210
48211
48212output dc_re;
48213reg    dc_re;
48214output bp_match;
48215wire   bp_match;
48216output wp_match;
48217wire   wp_match;
48218
48219
48220
48221
48222
48223genvar i;
48224
48225
48226
48227reg [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] bp_a[0:breakpoints-1];
48228reg bp_e[0:breakpoints-1];
48229wire [0:breakpoints-1]bp_match_n;
48230
48231reg [ 1:0] wpc_c[0:watchpoints-1];
48232reg [ (32-1):0] wp[0:watchpoints-1];
48233wire [0:watchpoints-1]wp_match_n;
48234
48235wire debug_csr_write_enable;
48236wire [ (32-1):0] debug_csr_write_data;
48237wire [ (5-1):0] debug_csr;
48238
48239
48240
48241
48242reg [ 2:0] state;
48243
48244
48245
48246
48247
48248
48249
48250
48251
48252
48253
48254
48255
48256
48257
48258
48259
48260
48261
48262
48263
48264
48265
48266
48267
48268
48269
48270
48271
48272
48273
48274
48275
48276
48277
48278
48279
48280
48281function integer clogb2;
48282input [31:0] value;
48283begin
48284   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
48285        value = value >> 1;
48286end
48287endfunction
48288
48289function integer clogb2_v1;
48290input [31:0] value;
48291reg   [31:0] i;
48292reg   [31:0] temp;
48293begin
48294   temp = 0;
48295   i    = 0;
48296   for (i = 0; temp < value; i = i + 1)
48297	temp = 1<<i;
48298   clogb2_v1 = i-1;
48299end
48300endfunction
48301
48302
48303
48304
48305
48306
48307
48308
48309
48310generate
48311    for (i = 0; i < breakpoints; i = i + 1)
48312    begin : bp_comb
48313assign bp_match_n[i] = ((bp_a[i] == pc_x) && (bp_e[i] ==  1'b1));
48314    end
48315endgenerate
48316generate
48317
48318
48319    if (breakpoints > 0)
48320assign bp_match = (|bp_match_n) || (state ==  3'b011);
48321    else
48322assign bp_match = state ==  3'b011;
48323
48324
48325
48326
48327
48328
48329
48330endgenerate
48331
48332
48333generate
48334    for (i = 0; i < watchpoints; i = i + 1)
48335    begin : wp_comb
48336assign wp_match_n[i] = (wp[i] == load_store_address_x) && ((load_x & wpc_c[i][0]) | (store_x & wpc_c[i][1]));
48337    end
48338endgenerate
48339generate
48340    if (watchpoints > 0)
48341assign wp_match = |wp_match_n;
48342    else
48343assign wp_match =  1'b0;
48344endgenerate
48345
48346
48347
48348
48349
48350
48351assign debug_csr_write_enable = (csr_write_enable_x ==  1'b1) || (jtag_csr_write_enable ==  1'b1);
48352assign debug_csr_write_data = jtag_csr_write_enable ==  1'b1 ? jtag_csr_write_data : csr_write_data;
48353assign debug_csr = jtag_csr_write_enable ==  1'b1 ? jtag_csr : csr_x;
48354
48355
48356
48357
48358
48359
48360
48361
48362
48363
48364
48365
48366
48367
48368
48369
48370
48371
48372
48373
48374
48375
48376
48377generate
48378    for (i = 0; i < breakpoints; i = i + 1)
48379    begin : bp_seq
48380always @(posedge clk_i  )
48381begin
48382    if (rst_i ==  1'b1)
48383    begin
48384        bp_a[i] <= { (clogb2(32'h7fffffff-32'h0)-2){1'bx}};
48385        bp_e[i] <=  1'b0;
48386    end
48387    else
48388    begin
48389        if ((debug_csr_write_enable ==  1'b1) && (debug_csr ==  5'h10 + i))
48390        begin
48391            bp_a[i] <= debug_csr_write_data[ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2];
48392            bp_e[i] <= debug_csr_write_data[0];
48393        end
48394    end
48395end
48396    end
48397endgenerate
48398
48399
48400generate
48401    for (i = 0; i < watchpoints; i = i + 1)
48402    begin : wp_seq
48403always @(posedge clk_i  )
48404begin
48405    if (rst_i ==  1'b1)
48406    begin
48407        wp[i] <= { 32{1'bx}};
48408        wpc_c[i] <=  2'b00;
48409    end
48410    else
48411    begin
48412        if (debug_csr_write_enable ==  1'b1)
48413        begin
48414            if (debug_csr ==  5'h8)
48415                wpc_c[i] <= debug_csr_write_data[3+i*2:2+i*2];
48416            if (debug_csr ==  5'h18 + i)
48417                wp[i] <= debug_csr_write_data;
48418        end
48419    end
48420end
48421    end
48422endgenerate
48423
48424
48425always @(posedge clk_i  )
48426begin
48427    if (rst_i ==  1'b1)
48428        dc_re <=  1'b0;
48429    else
48430    begin
48431        if ((debug_csr_write_enable ==  1'b1) && (debug_csr ==  5'h8))
48432            dc_re <= debug_csr_write_data[1];
48433    end
48434end
48435
48436
48437
48438
48439always @(posedge clk_i  )
48440begin
48441    if (rst_i ==  1'b1)
48442    begin
48443        state <=  3'b000;
48444        dc_ss <=  1'b0;
48445    end
48446    else
48447    begin
48448        if ((debug_csr_write_enable ==  1'b1) && (debug_csr ==  5'h8))
48449        begin
48450            dc_ss <= debug_csr_write_data[0];
48451            if (debug_csr_write_data[0] ==  1'b0)
48452                state <=  3'b000;
48453            else
48454                state <=  3'b001;
48455        end
48456        case (state)
48457         3'b001:
48458        begin
48459
48460            if (   (   (eret_q_x ==  1'b1)
48461                    || (bret_q_x ==  1'b1)
48462                    )
48463                && (stall_x ==  1'b0)
48464               )
48465                state <=  3'b010;
48466        end
48467         3'b010:
48468        begin
48469
48470            if ((q_x ==  1'b1) && (stall_x ==  1'b0))
48471                state <=  3'b011;
48472        end
48473         3'b011:
48474        begin
48475
48476
48477
48478
48479
48480
48481
48482                 if ((exception_x ==  1'b1) && (q_x ==  1'b1) && (stall_x ==  1'b0))
48483            begin
48484                dc_ss <=  1'b0;
48485                state <=  3'b100;
48486            end
48487        end
48488         3'b100:
48489        begin
48490
48491
48492
48493
48494
48495
48496
48497                state <=  3'b000;
48498        end
48499        endcase
48500    end
48501end
48502
48503
48504
48505endmodule
48506
48507
48508
48509
48510
48511
48512
48513
48514
48515
48516
48517
48518
48519
48520
48521
48522
48523
48524
48525
48526
48527
48528
48529
48530
48531
48532
48533
48534
48535
48536
48537
48538
48539
48540
48541
48542
48543
48544
48545
48546
48547
48548
48549
48550
48551
48552
48553
48554
48555
48556
48557
48558
48559
48560
48561
48562
48563
48564
48565
48566
48567
48568
48569
48570
48571
48572
48573
48574
48575
48576
48577
48578
48579
48580
48581
48582
48583
48584
48585
48586
48587
48588
48589
48590
48591
48592
48593
48594
48595
48596
48597
48598
48599
48600
48601
48602
48603
48604
48605
48606
48607
48608
48609
48610
48611
48612
48613
48614
48615
48616
48617
48618
48619
48620
48621
48622
48623
48624
48625
48626
48627
48628
48629
48630
48631
48632
48633
48634
48635
48636
48637
48638
48639
48640
48641
48642
48643
48644
48645
48646
48647
48648
48649
48650
48651
48652
48653
48654
48655
48656
48657
48658
48659
48660
48661
48662
48663
48664
48665
48666
48667
48668
48669
48670
48671
48672
48673
48674
48675
48676
48677
48678
48679
48680
48681
48682
48683
48684
48685
48686
48687
48688
48689
48690
48691
48692
48693
48694
48695
48696
48697
48698
48699
48700
48701
48702
48703
48704
48705
48706
48707
48708
48709
48710
48711
48712
48713
48714
48715
48716
48717
48718
48719
48720
48721
48722
48723
48724
48725
48726
48727
48728
48729
48730
48731
48732
48733
48734
48735
48736
48737
48738
48739
48740
48741
48742
48743
48744
48745
48746
48747
48748
48749
48750
48751
48752
48753
48754
48755
48756
48757
48758
48759
48760
48761
48762
48763
48764
48765
48766
48767
48768
48769
48770
48771
48772
48773
48774
48775
48776
48777
48778
48779
48780
48781
48782
48783
48784
48785
48786
48787
48788
48789
48790
48791
48792
48793
48794
48795
48796
48797
48798
48799
48800
48801
48802
48803
48804
48805
48806
48807
48808
48809
48810
48811
48812
48813
48814
48815
48816
48817
48818
48819
48820
48821
48822
48823
48824
48825
48826
48827
48828
48829
48830
48831
48832
48833
48834
48835
48836
48837
48838
48839
48840
48841
48842
48843
48844
48845
48846
48847
48848
48849
48850
48851
48852
48853
48854
48855
48856
48857
48858
48859
48860
48861
48862
48863
48864
48865
48866
48867
48868
48869
48870
48871
48872
48873
48874
48875
48876
48877
48878
48879
48880
48881
48882
48883
48884
48885
48886
48887module lm32_instruction_unit_medium_debug (
48888
48889    clk_i,
48890    rst_i,
48891
48892    stall_a,
48893    stall_f,
48894    stall_d,
48895    stall_x,
48896    stall_m,
48897    valid_f,
48898    valid_d,
48899    kill_f,
48900    branch_predict_taken_d,
48901    branch_predict_address_d,
48902
48903
48904
48905
48906
48907    exception_m,
48908    branch_taken_m,
48909    branch_mispredict_taken_m,
48910    branch_target_m,
48911
48912
48913    iflush,
48914
48915
48916
48917
48918
48919
48920
48921
48922
48923
48924
48925    i_dat_i,
48926    i_ack_i,
48927    i_err_i,
48928    i_rty_i,
48929
48930
48931
48932
48933    jtag_read_enable,
48934    jtag_write_enable,
48935    jtag_write_data,
48936    jtag_address,
48937
48938
48939
48940
48941    pc_f,
48942    pc_d,
48943    pc_x,
48944    pc_m,
48945    pc_w,
48946
48947
48948    icache_stall_request,
48949    icache_restart_request,
48950    icache_refill_request,
48951    icache_refilling,
48952
48953
48954
48955
48956
48957    i_dat_o,
48958    i_adr_o,
48959    i_cyc_o,
48960    i_sel_o,
48961    i_stb_o,
48962    i_we_o,
48963    i_cti_o,
48964    i_lock_o,
48965    i_bte_o,
48966
48967
48968
48969
48970
48971
48972
48973
48974
48975
48976    jtag_read_data,
48977    jtag_access_complete,
48978
48979
48980
48981
48982
48983
48984
48985
48986    instruction_f,
48987
48988
48989    instruction_d
48990    );
48991
48992
48993
48994
48995
48996parameter eba_reset =  32'h00000000;
48997parameter associativity = 1;
48998parameter sets = 512;
48999parameter bytes_per_line = 16;
49000parameter base_address = 0;
49001parameter limit = 0;
49002
49003
49004localparam eba_reset_minus_4 = eba_reset - 4;
49005localparam addr_offset_width = bytes_per_line == 4 ? 1 : clogb2(bytes_per_line)-1-2;
49006localparam addr_offset_lsb = 2;
49007localparam addr_offset_msb = (addr_offset_lsb+addr_offset_width-1);
49008
49009
49010
49011
49012
49013
49014
49015
49016
49017
49018
49019
49020input clk_i;
49021input rst_i;
49022
49023input stall_a;
49024input stall_f;
49025input stall_d;
49026input stall_x;
49027input stall_m;
49028input valid_f;
49029input valid_d;
49030input kill_f;
49031
49032input branch_predict_taken_d;
49033input [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_predict_address_d;
49034
49035
49036
49037
49038
49039
49040input exception_m;
49041input branch_taken_m;
49042input branch_mispredict_taken_m;
49043input [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_target_m;
49044
49045
49046
49047input iflush;
49048
49049
49050
49051
49052
49053
49054
49055
49056
49057
49058
49059
49060input [ (32-1):0] i_dat_i;
49061input i_ack_i;
49062input i_err_i;
49063input i_rty_i;
49064
49065
49066
49067
49068
49069input jtag_read_enable;
49070input jtag_write_enable;
49071input [ 7:0] jtag_write_data;
49072input [ (32-1):0] jtag_address;
49073
49074
49075
49076
49077
49078
49079
49080output [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_f;
49081reg    [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_f;
49082output [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_d;
49083reg    [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_d;
49084output [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_x;
49085reg    [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_x;
49086output [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_m;
49087reg    [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_m;
49088output [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_w;
49089reg    [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_w;
49090
49091
49092
49093output icache_stall_request;
49094wire   icache_stall_request;
49095output icache_restart_request;
49096wire   icache_restart_request;
49097output icache_refill_request;
49098wire   icache_refill_request;
49099output icache_refilling;
49100wire   icache_refilling;
49101
49102
49103
49104
49105
49106output [ (32-1):0] i_dat_o;
49107
49108
49109reg    [ (32-1):0] i_dat_o;
49110
49111
49112
49113
49114output [ (32-1):0] i_adr_o;
49115reg    [ (32-1):0] i_adr_o;
49116output i_cyc_o;
49117reg    i_cyc_o;
49118output [ (4-1):0] i_sel_o;
49119
49120
49121reg    [ (4-1):0] i_sel_o;
49122
49123
49124
49125
49126output i_stb_o;
49127reg    i_stb_o;
49128output i_we_o;
49129
49130
49131reg    i_we_o;
49132
49133
49134
49135
49136output [ (3-1):0] i_cti_o;
49137reg    [ (3-1):0] i_cti_o;
49138output i_lock_o;
49139reg    i_lock_o;
49140output [ (2-1):0] i_bte_o;
49141wire   [ (2-1):0] i_bte_o;
49142
49143
49144
49145
49146
49147output [ 7:0] jtag_read_data;
49148reg    [ 7:0] jtag_read_data;
49149output jtag_access_complete;
49150wire   jtag_access_complete;
49151
49152
49153
49154
49155
49156
49157
49158
49159
49160
49161output [ (32-1):0] instruction_f;
49162wire   [ (32-1):0] instruction_f;
49163
49164
49165output [ (32-1):0] instruction_d;
49166reg    [ (32-1):0] instruction_d;
49167
49168
49169
49170
49171
49172reg [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_a;
49173
49174
49175
49176reg [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] restart_address;
49177
49178
49179
49180
49181
49182wire icache_read_enable_f;
49183wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] icache_refill_address;
49184reg icache_refill_ready;
49185reg [ (32-1):0] icache_refill_data;
49186wire [ (32-1):0] icache_data_f;
49187wire [ (3-1):0] first_cycle_type;
49188wire [ (3-1):0] next_cycle_type;
49189wire last_word;
49190wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] first_address;
49191
49192
49193
49194
49195
49196
49197
49198
49199
49200
49201
49202
49203
49204
49205
49206
49207
49208
49209
49210
49211
49212
49213
49214
49215
49216
49217
49218
49219
49220
49221
49222
49223
49224reg jtag_access;
49225
49226
49227
49228
49229
49230
49231
49232
49233
49234
49235
49236
49237
49238
49239
49240
49241
49242
49243
49244
49245
49246
49247
49248
49249
49250
49251
49252
49253
49254
49255
49256
49257
49258
49259
49260
49261
49262function integer clogb2;
49263input [31:0] value;
49264begin
49265   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
49266        value = value >> 1;
49267end
49268endfunction
49269
49270function integer clogb2_v1;
49271input [31:0] value;
49272reg   [31:0] i;
49273reg   [31:0] temp;
49274begin
49275   temp = 0;
49276   i    = 0;
49277   for (i = 0; temp < value; i = i + 1)
49278	temp = 1<<i;
49279   clogb2_v1 = i-1;
49280end
49281endfunction
49282
49283
49284
49285
49286
49287
49288
49289
49290
49291
49292
49293
49294lm32_icache_medium_debug #(
49295    .associativity          (associativity),
49296    .sets                   (sets),
49297    .bytes_per_line         (bytes_per_line),
49298    .base_address           (base_address),
49299    .limit                  (limit)
49300    ) icache (
49301
49302    .clk_i                  (clk_i),
49303    .rst_i                  (rst_i),
49304    .stall_a                (stall_a),
49305    .stall_f                (stall_f),
49306    .branch_predict_taken_d (branch_predict_taken_d),
49307    .valid_d                (valid_d),
49308    .address_a              (pc_a),
49309    .address_f              (pc_f),
49310    .read_enable_f          (icache_read_enable_f),
49311    .refill_ready           (icache_refill_ready),
49312    .refill_data            (icache_refill_data),
49313    .iflush                 (iflush),
49314
49315    .stall_request          (icache_stall_request),
49316    .restart_request        (icache_restart_request),
49317    .refill_request         (icache_refill_request),
49318    .refill_address         (icache_refill_address),
49319    .refilling              (icache_refilling),
49320    .inst                   (icache_data_f)
49321    );
49322
49323
49324
49325
49326
49327
49328
49329
49330
49331
49332   assign icache_read_enable_f =    (valid_f ==  1'b1)
49333     && (kill_f ==  1'b0)
49334
49335
49336
49337
49338
49339
49340
49341
49342				    ;
49343
49344
49345
49346
49347always @(*)
49348begin
49349
49350
49351
49352
49353
49354
49355
49356      if (branch_taken_m ==  1'b1)
49357	if ((branch_mispredict_taken_m ==  1'b1) && (exception_m ==  1'b0))
49358	  pc_a = pc_x;
49359	else
49360          pc_a = branch_target_m;
49361
49362
49363
49364
49365
49366      else
49367	if ( (valid_d ==  1'b1) && (branch_predict_taken_d ==  1'b1) )
49368	  pc_a = branch_predict_address_d;
49369	else
49370
49371
49372          if (icache_restart_request ==  1'b1)
49373            pc_a = restart_address;
49374	  else
49375
49376
49377            pc_a = pc_f + 1'b1;
49378end
49379
49380
49381
49382
49383
49384
49385
49386
49387
49388
49389
49390
49391
49392
49393
49394
49395
49396
49397
49398
49399
49400
49401
49402
49403
49404
49405
49406
49407
49408
49409
49410
49411
49412
49413
49414assign instruction_f = icache_data_f;
49415
49416
49417
49418
49419
49420
49421
49422
49423
49424
49425
49426
49427
49428
49429
49430
49431
49432
49433
49434assign i_bte_o =  2'b00;
49435
49436
49437
49438
49439
49440
49441generate
49442    case (bytes_per_line)
49443    4:
49444    begin
49445assign first_cycle_type =  3'b111;
49446assign next_cycle_type =  3'b111;
49447assign last_word =  1'b1;
49448assign first_address = icache_refill_address;
49449    end
49450    8:
49451    begin
49452assign first_cycle_type =  3'b010;
49453assign next_cycle_type =  3'b111;
49454assign last_word = i_adr_o[addr_offset_msb:addr_offset_lsb] == 1'b1;
49455assign first_address = {icache_refill_address[ (clogb2(32'h7fffffff-32'h0)-2)+2-1:addr_offset_msb+1], {addr_offset_width{1'b0}}};
49456    end
49457    16:
49458    begin
49459assign first_cycle_type =  3'b010;
49460assign next_cycle_type = i_adr_o[addr_offset_msb] == 1'b1 ?  3'b111 :  3'b010;
49461assign last_word = i_adr_o[addr_offset_msb:addr_offset_lsb] == 2'b11;
49462assign first_address = {icache_refill_address[ (clogb2(32'h7fffffff-32'h0)-2)+2-1:addr_offset_msb+1], {addr_offset_width{1'b0}}};
49463    end
49464    endcase
49465endgenerate
49466
49467
49468
49469
49470
49471
49472
49473
49474always @(posedge clk_i  )
49475begin
49476    if (rst_i ==  1'b1)
49477    begin
49478        pc_f <= eba_reset_minus_4[ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2];
49479        pc_d <= { (clogb2(32'h7fffffff-32'h0)-2){1'b0}};
49480        pc_x <= { (clogb2(32'h7fffffff-32'h0)-2){1'b0}};
49481        pc_m <= { (clogb2(32'h7fffffff-32'h0)-2){1'b0}};
49482        pc_w <= { (clogb2(32'h7fffffff-32'h0)-2){1'b0}};
49483    end
49484    else
49485    begin
49486        if (stall_f ==  1'b0)
49487            pc_f <= pc_a;
49488        if (stall_d ==  1'b0)
49489            pc_d <= pc_f;
49490        if (stall_x ==  1'b0)
49491            pc_x <= pc_d;
49492        if (stall_m ==  1'b0)
49493            pc_m <= pc_x;
49494        pc_w <= pc_m;
49495    end
49496end
49497
49498
49499
49500
49501always @(posedge clk_i  )
49502begin
49503    if (rst_i ==  1'b1)
49504        restart_address <= { (clogb2(32'h7fffffff-32'h0)-2){1'b0}};
49505    else
49506    begin
49507
49508
49509
49510
49511
49512
49513
49514
49515
49516
49517
49518
49519
49520
49521
49522            if (icache_refill_request ==  1'b1)
49523                restart_address <= icache_refill_address;
49524
49525
49526
49527
49528    end
49529end
49530
49531
49532
49533
49534
49535
49536
49537
49538
49539
49540
49541
49542
49543
49544
49545
49546
49547
49548
49549
49550
49551
49552assign jtag_access_complete = (i_cyc_o ==  1'b1) && ((i_ack_i ==  1'b1) || (i_err_i ==  1'b1)) && (jtag_access ==  1'b1);
49553always @(*)
49554begin
49555    case (jtag_address[1:0])
49556    2'b00: jtag_read_data = i_dat_i[ 31:24];
49557    2'b01: jtag_read_data = i_dat_i[ 23:16];
49558    2'b10: jtag_read_data = i_dat_i[ 15:8];
49559    2'b11: jtag_read_data = i_dat_i[ 7:0];
49560    endcase
49561end
49562
49563
49564
49565
49566
49567
49568
49569
49570
49571
49572   always @(posedge clk_i  )
49573     begin
49574	if (rst_i ==  1'b1)
49575	  begin
49576             i_cyc_o <=  1'b0;
49577             i_stb_o <=  1'b0;
49578             i_adr_o <= { 32{1'b0}};
49579             i_cti_o <=  3'b111;
49580             i_lock_o <=  1'b0;
49581             icache_refill_data <= { 32{1'b0}};
49582             icache_refill_ready <=  1'b0;
49583
49584
49585
49586
49587
49588
49589             i_we_o <=  1'b0;
49590             i_sel_o <= 4'b1111;
49591             jtag_access <=  1'b0;
49592
49593
49594	  end
49595	else
49596	  begin
49597             icache_refill_ready <=  1'b0;
49598
49599             if (i_cyc_o ==  1'b1)
49600               begin
49601
49602		  if ((i_ack_i ==  1'b1) || (i_err_i ==  1'b1))
49603		    begin
49604
49605
49606                       if (jtag_access ==  1'b1)
49607			 begin
49608			    i_cyc_o <=  1'b0;
49609			    i_stb_o <=  1'b0;
49610			    i_we_o <=  1'b0;
49611			    jtag_access <=  1'b0;
49612			 end
49613                       else
49614
49615
49616			 begin
49617			    if (last_word ==  1'b1)
49618			      begin
49619
49620				 i_cyc_o <=  1'b0;
49621				 i_stb_o <=  1'b0;
49622				 i_lock_o <=  1'b0;
49623			      end
49624
49625			    i_adr_o[addr_offset_msb:addr_offset_lsb] <= i_adr_o[addr_offset_msb:addr_offset_lsb] + 1'b1;
49626			    i_cti_o <= next_cycle_type;
49627
49628			    icache_refill_ready <=  1'b1;
49629			    icache_refill_data <= i_dat_i;
49630			 end
49631		    end
49632
49633
49634
49635
49636
49637
49638
49639
49640
49641
49642               end
49643             else
49644               begin
49645		  if ((icache_refill_request ==  1'b1) && (icache_refill_ready ==  1'b0))
49646		    begin
49647
49648
49649
49650                       i_sel_o <= 4'b1111;
49651
49652
49653                       i_adr_o <= {first_address, 2'b00};
49654                       i_cyc_o <=  1'b1;
49655                       i_stb_o <=  1'b1;
49656                       i_cti_o <= first_cycle_type;
49657
49658
49659
49660
49661
49662		    end
49663
49664
49665		  else
49666		    begin
49667                       if ((jtag_read_enable ==  1'b1) || (jtag_write_enable ==  1'b1))
49668			 begin
49669			    case (jtag_address[1:0])
49670			      2'b00: i_sel_o <= 4'b1000;
49671			      2'b01: i_sel_o <= 4'b0100;
49672			      2'b10: i_sel_o <= 4'b0010;
49673			      2'b11: i_sel_o <= 4'b0001;
49674			    endcase
49675			    i_adr_o <= jtag_address;
49676			    i_dat_o <= {4{jtag_write_data}};
49677			    i_cyc_o <=  1'b1;
49678			    i_stb_o <=  1'b1;
49679			    i_we_o <= jtag_write_enable;
49680			    i_cti_o <=  3'b111;
49681			    jtag_access <=  1'b1;
49682			 end
49683		    end
49684
49685
49686
49687
49688
49689
49690
49691
49692
49693
49694
49695
49696
49697               end
49698	  end
49699     end
49700
49701
49702
49703
49704
49705
49706
49707
49708
49709
49710
49711
49712
49713
49714
49715
49716
49717
49718
49719
49720
49721
49722
49723
49724
49725
49726
49727
49728
49729
49730
49731
49732
49733
49734
49735
49736
49737
49738
49739
49740
49741
49742
49743
49744
49745
49746
49747
49748
49749
49750
49751
49752
49753
49754
49755
49756
49757
49758
49759
49760
49761
49762
49763
49764
49765
49766
49767
49768
49769
49770
49771
49772
49773
49774
49775
49776
49777
49778
49779
49780
49781
49782   always @(posedge clk_i  )
49783     begin
49784	if (rst_i ==  1'b1)
49785	  begin
49786             instruction_d <= { 32{1'b0}};
49787
49788
49789
49790
49791	  end
49792	else
49793	  begin
49794             if (stall_d ==  1'b0)
49795               begin
49796		  instruction_d <= instruction_f;
49797
49798
49799
49800
49801               end
49802	  end
49803     end
49804
49805endmodule
49806
49807
49808
49809
49810
49811
49812
49813
49814
49815
49816
49817
49818
49819
49820
49821
49822
49823
49824
49825
49826
49827
49828
49829
49830
49831
49832
49833
49834
49835
49836
49837
49838
49839
49840
49841
49842
49843
49844
49845
49846
49847
49848
49849
49850
49851
49852
49853
49854
49855
49856
49857
49858
49859
49860
49861
49862
49863
49864
49865
49866
49867
49868
49869
49870
49871
49872
49873
49874
49875
49876
49877
49878
49879
49880
49881
49882
49883
49884
49885
49886
49887
49888
49889
49890
49891
49892
49893
49894
49895
49896
49897
49898
49899
49900
49901
49902
49903
49904
49905
49906
49907
49908
49909
49910
49911
49912
49913
49914
49915
49916
49917
49918
49919
49920
49921
49922
49923
49924
49925
49926
49927
49928
49929
49930
49931
49932
49933
49934
49935
49936
49937
49938
49939
49940
49941
49942
49943
49944
49945
49946
49947
49948
49949
49950
49951
49952
49953
49954
49955
49956
49957
49958
49959
49960
49961
49962
49963
49964
49965
49966
49967
49968
49969
49970
49971
49972
49973
49974
49975
49976
49977
49978
49979
49980
49981
49982
49983
49984
49985
49986
49987
49988
49989
49990
49991
49992
49993
49994
49995
49996
49997
49998
49999
50000
50001
50002
50003
50004
50005
50006
50007
50008
50009
50010
50011
50012
50013
50014
50015
50016
50017
50018
50019
50020
50021
50022
50023
50024
50025
50026
50027
50028
50029
50030
50031
50032
50033
50034
50035
50036
50037
50038
50039
50040
50041
50042
50043
50044
50045
50046
50047
50048
50049
50050
50051
50052
50053
50054
50055
50056
50057
50058
50059
50060
50061
50062
50063
50064
50065
50066
50067
50068
50069
50070
50071
50072
50073
50074
50075
50076
50077
50078
50079
50080
50081
50082
50083
50084
50085
50086
50087
50088
50089
50090
50091
50092
50093
50094
50095
50096
50097
50098
50099
50100
50101
50102
50103
50104
50105
50106
50107
50108
50109
50110
50111
50112
50113
50114
50115
50116
50117
50118
50119
50120
50121
50122
50123
50124
50125
50126
50127
50128
50129
50130
50131
50132
50133
50134
50135
50136
50137
50138
50139
50140
50141
50142
50143
50144
50145
50146
50147
50148
50149
50150
50151
50152
50153
50154
50155
50156
50157
50158
50159
50160
50161
50162
50163
50164
50165
50166
50167
50168
50169
50170
50171
50172
50173
50174
50175
50176
50177
50178
50179
50180
50181
50182
50183
50184
50185
50186
50187
50188
50189
50190
50191
50192
50193
50194
50195
50196
50197
50198
50199
50200
50201
50202
50203
50204
50205
50206
50207
50208
50209
50210
50211
50212
50213
50214
50215
50216module lm32_jtag_medium_debug (
50217
50218    clk_i,
50219    rst_i,
50220    jtag_clk,
50221    jtag_update,
50222    jtag_reg_q,
50223    jtag_reg_addr_q,
50224
50225
50226    csr,
50227    csr_write_enable,
50228    csr_write_data,
50229    stall_x,
50230
50231
50232
50233
50234    jtag_read_data,
50235    jtag_access_complete,
50236
50237
50238
50239
50240    exception_q_w,
50241
50242
50243
50244
50245
50246    jtx_csr_read_data,
50247    jrx_csr_read_data,
50248
50249
50250
50251
50252    jtag_csr_write_enable,
50253    jtag_csr_write_data,
50254    jtag_csr,
50255    jtag_read_enable,
50256    jtag_write_enable,
50257    jtag_write_data,
50258    jtag_address,
50259
50260
50261
50262
50263    jtag_break,
50264    jtag_reset,
50265
50266
50267    jtag_reg_d,
50268    jtag_reg_addr_d
50269    );
50270
50271
50272
50273
50274
50275input clk_i;
50276input rst_i;
50277
50278input jtag_clk;
50279input jtag_update;
50280input [ 7:0] jtag_reg_q;
50281input [2:0] jtag_reg_addr_q;
50282
50283
50284
50285input [ (5-1):0] csr;
50286input csr_write_enable;
50287input [ (32-1):0] csr_write_data;
50288input stall_x;
50289
50290
50291
50292
50293input [ 7:0] jtag_read_data;
50294input jtag_access_complete;
50295
50296
50297
50298
50299input exception_q_w;
50300
50301
50302
50303
50304
50305
50306
50307
50308
50309output [ (32-1):0] jtx_csr_read_data;
50310wire   [ (32-1):0] jtx_csr_read_data;
50311output [ (32-1):0] jrx_csr_read_data;
50312wire   [ (32-1):0] jrx_csr_read_data;
50313
50314
50315
50316
50317output jtag_csr_write_enable;
50318reg    jtag_csr_write_enable;
50319output [ (32-1):0] jtag_csr_write_data;
50320wire   [ (32-1):0] jtag_csr_write_data;
50321output [ (5-1):0] jtag_csr;
50322wire   [ (5-1):0] jtag_csr;
50323output jtag_read_enable;
50324reg    jtag_read_enable;
50325output jtag_write_enable;
50326reg    jtag_write_enable;
50327output [ 7:0] jtag_write_data;
50328wire   [ 7:0] jtag_write_data;
50329output [ (32-1):0] jtag_address;
50330wire   [ (32-1):0] jtag_address;
50331
50332
50333
50334
50335output jtag_break;
50336reg    jtag_break;
50337output jtag_reset;
50338reg    jtag_reset;
50339
50340
50341output [ 7:0] jtag_reg_d;
50342reg    [ 7:0] jtag_reg_d;
50343output [2:0] jtag_reg_addr_d;
50344wire   [2:0] jtag_reg_addr_d;
50345
50346
50347
50348
50349
50350reg rx_update;
50351reg rx_update_r;
50352reg rx_update_r_r;
50353reg rx_update_r_r_r;
50354
50355
50356
50357wire [ 7:0] rx_byte;
50358wire [2:0] rx_addr;
50359
50360
50361
50362reg [ 7:0] uart_tx_byte;
50363reg uart_tx_valid;
50364reg [ 7:0] uart_rx_byte;
50365reg uart_rx_valid;
50366
50367
50368
50369reg [ 3:0] command;
50370
50371
50372reg [ 7:0] jtag_byte_0;
50373reg [ 7:0] jtag_byte_1;
50374reg [ 7:0] jtag_byte_2;
50375reg [ 7:0] jtag_byte_3;
50376reg [ 7:0] jtag_byte_4;
50377reg processing;
50378
50379
50380
50381reg [ 3:0] state;
50382
50383
50384
50385
50386
50387
50388
50389assign jtag_csr_write_data = {jtag_byte_0, jtag_byte_1, jtag_byte_2, jtag_byte_3};
50390assign jtag_csr = jtag_byte_4[ (5-1):0];
50391assign jtag_address = {jtag_byte_0, jtag_byte_1, jtag_byte_2, jtag_byte_3};
50392assign jtag_write_data = jtag_byte_4;
50393
50394
50395
50396
50397
50398
50399assign jtag_reg_addr_d[1:0] = {uart_rx_valid, uart_tx_valid};
50400
50401
50402
50403
50404
50405
50406assign jtag_reg_addr_d[2] = processing;
50407
50408
50409
50410
50411
50412
50413
50414assign jtx_csr_read_data = {{ 32-9{1'b0}}, uart_tx_valid, 8'h00};
50415assign jrx_csr_read_data = {{ 32-9{1'b0}}, uart_rx_valid, uart_rx_byte};
50416
50417
50418
50419
50420
50421
50422
50423assign rx_byte = jtag_reg_q;
50424assign rx_addr = jtag_reg_addr_q;
50425
50426
50427
50428always @(posedge clk_i  )
50429begin
50430    if (rst_i ==  1'b1)
50431    begin
50432        rx_update <= 1'b0;
50433        rx_update_r <= 1'b0;
50434        rx_update_r_r <= 1'b0;
50435        rx_update_r_r_r <= 1'b0;
50436    end
50437    else
50438    begin
50439        rx_update <= jtag_update;
50440        rx_update_r <= rx_update;
50441        rx_update_r_r <= rx_update_r;
50442        rx_update_r_r_r <= rx_update_r_r;
50443    end
50444end
50445
50446
50447always @(posedge clk_i  )
50448begin
50449    if (rst_i ==  1'b1)
50450    begin
50451        state <=  4'h0;
50452        command <= 4'b0000;
50453        jtag_reg_d <= 8'h00;
50454
50455
50456        processing <=  1'b0;
50457        jtag_csr_write_enable <=  1'b0;
50458        jtag_read_enable <=  1'b0;
50459        jtag_write_enable <=  1'b0;
50460
50461
50462
50463
50464        jtag_break <=  1'b0;
50465        jtag_reset <=  1'b0;
50466
50467
50468
50469
50470        uart_tx_byte <= 8'h00;
50471        uart_tx_valid <=  1'b0;
50472        uart_rx_byte <= 8'h00;
50473        uart_rx_valid <=  1'b0;
50474
50475
50476    end
50477    else
50478    begin
50479
50480
50481        if ((csr_write_enable ==  1'b1) && (stall_x ==  1'b0))
50482        begin
50483            case (csr)
50484             5'he:
50485            begin
50486
50487                uart_tx_byte <= csr_write_data[ 7:0];
50488                uart_tx_valid <=  1'b1;
50489            end
50490             5'hf:
50491            begin
50492
50493                uart_rx_valid <=  1'b0;
50494            end
50495            endcase
50496        end
50497
50498
50499
50500
50501
50502        if (exception_q_w ==  1'b1)
50503        begin
50504            jtag_break <=  1'b0;
50505            jtag_reset <=  1'b0;
50506        end
50507
50508
50509        case (state)
50510         4'h0:
50511        begin
50512
50513            if ((~rx_update_r_r_r & rx_update_r_r) ==  1'b1)
50514            begin
50515                command <= rx_byte[7:4];
50516                case (rx_addr)
50517
50518
50519                 3'b000:
50520                begin
50521                    case (rx_byte[7:4])
50522
50523
50524                     4'b0001:
50525                        state <=  4'h1;
50526                     4'b0011:
50527                    begin
50528                        {jtag_byte_2, jtag_byte_3} <= {jtag_byte_2, jtag_byte_3} + 1'b1;
50529                        state <=  4'h6;
50530                    end
50531                     4'b0010:
50532                        state <=  4'h1;
50533                     4'b0100:
50534                    begin
50535                        {jtag_byte_2, jtag_byte_3} <= {jtag_byte_2, jtag_byte_3} + 1'b1;
50536                        state <= 5;
50537                    end
50538                     4'b0101:
50539                        state <=  4'h1;
50540
50541
50542                     4'b0110:
50543                    begin
50544
50545
50546                        uart_rx_valid <=  1'b0;
50547                        uart_tx_valid <=  1'b0;
50548
50549
50550                        jtag_break <=  1'b1;
50551                    end
50552                     4'b0111:
50553                    begin
50554
50555
50556                        uart_rx_valid <=  1'b0;
50557                        uart_tx_valid <=  1'b0;
50558
50559
50560                        jtag_reset <=  1'b1;
50561                    end
50562                    endcase
50563                end
50564
50565
50566
50567
50568                 3'b001:
50569                begin
50570                    uart_rx_byte <= rx_byte;
50571                    uart_rx_valid <=  1'b1;
50572                end
50573                 3'b010:
50574                begin
50575                    jtag_reg_d <= uart_tx_byte;
50576                    uart_tx_valid <=  1'b0;
50577                end
50578
50579
50580                default:
50581                    ;
50582                endcase
50583            end
50584        end
50585
50586
50587         4'h1:
50588        begin
50589            if ((~rx_update_r_r_r & rx_update_r_r) ==  1'b1)
50590            begin
50591                jtag_byte_0 <= rx_byte;
50592                state <=  4'h2;
50593            end
50594        end
50595         4'h2:
50596        begin
50597            if ((~rx_update_r_r_r & rx_update_r_r) ==  1'b1)
50598            begin
50599                jtag_byte_1 <= rx_byte;
50600                state <=  4'h3;
50601            end
50602        end
50603         4'h3:
50604        begin
50605            if ((~rx_update_r_r_r & rx_update_r_r) ==  1'b1)
50606            begin
50607                jtag_byte_2 <= rx_byte;
50608                state <=  4'h4;
50609            end
50610        end
50611         4'h4:
50612        begin
50613            if ((~rx_update_r_r_r & rx_update_r_r) ==  1'b1)
50614            begin
50615                jtag_byte_3 <= rx_byte;
50616                if (command ==  4'b0001)
50617                    state <=  4'h6;
50618                else
50619                    state <=  4'h5;
50620            end
50621        end
50622         4'h5:
50623        begin
50624            if ((~rx_update_r_r_r & rx_update_r_r) ==  1'b1)
50625            begin
50626                jtag_byte_4 <= rx_byte;
50627                state <=  4'h6;
50628            end
50629        end
50630         4'h6:
50631        begin
50632            case (command)
50633             4'b0001,
50634             4'b0011:
50635            begin
50636                jtag_read_enable <=  1'b1;
50637                processing <=  1'b1;
50638                state <=  4'h7;
50639            end
50640             4'b0010,
50641             4'b0100:
50642            begin
50643                jtag_write_enable <=  1'b1;
50644                processing <=  1'b1;
50645                state <=  4'h7;
50646            end
50647             4'b0101:
50648            begin
50649                jtag_csr_write_enable <=  1'b1;
50650                processing <=  1'b1;
50651                state <=  4'h8;
50652            end
50653            endcase
50654        end
50655         4'h7:
50656        begin
50657            if (jtag_access_complete ==  1'b1)
50658            begin
50659                jtag_read_enable <=  1'b0;
50660                jtag_reg_d <= jtag_read_data;
50661                jtag_write_enable <=  1'b0;
50662                processing <=  1'b0;
50663                state <=  4'h0;
50664            end
50665        end
50666         4'h8:
50667        begin
50668            jtag_csr_write_enable <=  1'b0;
50669            processing <=  1'b0;
50670            state <=  4'h0;
50671        end
50672
50673
50674        endcase
50675    end
50676end
50677
50678endmodule
50679
50680
50681
50682
50683
50684
50685
50686
50687
50688
50689
50690
50691
50692
50693
50694
50695
50696
50697
50698
50699
50700
50701
50702
50703
50704
50705
50706
50707
50708
50709
50710
50711
50712
50713
50714
50715
50716
50717
50718
50719
50720
50721
50722
50723
50724
50725
50726
50727
50728
50729
50730
50731
50732
50733
50734
50735
50736
50737
50738
50739
50740
50741
50742
50743
50744
50745
50746
50747
50748
50749
50750
50751
50752
50753
50754
50755
50756
50757
50758
50759
50760
50761
50762
50763
50764
50765
50766
50767
50768
50769
50770
50771
50772
50773
50774
50775
50776
50777
50778
50779
50780
50781
50782
50783
50784
50785
50786
50787
50788
50789
50790
50791
50792
50793
50794
50795
50796
50797
50798
50799
50800
50801
50802
50803
50804
50805
50806
50807
50808
50809
50810
50811
50812
50813
50814
50815
50816
50817
50818
50819
50820
50821
50822
50823
50824
50825
50826
50827
50828
50829
50830
50831
50832
50833
50834
50835
50836
50837
50838
50839
50840
50841
50842
50843
50844
50845
50846
50847
50848
50849
50850
50851
50852
50853
50854
50855
50856
50857
50858
50859
50860
50861
50862
50863
50864
50865
50866
50867
50868
50869
50870
50871
50872
50873
50874
50875
50876
50877
50878
50879
50880
50881
50882
50883
50884
50885
50886
50887
50888
50889
50890
50891
50892
50893
50894
50895
50896
50897
50898
50899
50900
50901
50902
50903
50904
50905
50906
50907
50908
50909
50910
50911
50912
50913
50914
50915
50916
50917
50918
50919
50920
50921
50922
50923
50924
50925
50926
50927
50928
50929
50930
50931
50932
50933
50934
50935
50936
50937
50938
50939
50940
50941
50942
50943
50944
50945
50946
50947
50948
50949
50950
50951
50952
50953
50954
50955
50956
50957
50958
50959
50960
50961
50962
50963
50964
50965
50966
50967
50968
50969
50970
50971
50972
50973
50974
50975
50976
50977
50978
50979
50980
50981
50982
50983
50984
50985
50986
50987
50988
50989
50990
50991
50992
50993
50994
50995
50996
50997
50998
50999
51000
51001
51002
51003
51004
51005
51006
51007
51008
51009
51010
51011
51012
51013
51014
51015
51016
51017
51018
51019
51020
51021
51022
51023
51024
51025
51026
51027
51028
51029
51030
51031
51032
51033
51034
51035
51036
51037
51038
51039
51040
51041
51042module lm32_interrupt_medium_debug (
51043
51044    clk_i,
51045    rst_i,
51046
51047    interrupt,
51048
51049    stall_x,
51050
51051
51052    non_debug_exception,
51053    debug_exception,
51054
51055
51056
51057
51058    eret_q_x,
51059
51060
51061    bret_q_x,
51062
51063
51064    csr,
51065    csr_write_data,
51066    csr_write_enable,
51067
51068    interrupt_exception,
51069
51070    csr_read_data
51071    );
51072
51073
51074
51075
51076
51077parameter interrupts =  32;
51078
51079
51080
51081
51082
51083input clk_i;
51084input rst_i;
51085
51086input [interrupts-1:0] interrupt;
51087
51088input stall_x;
51089
51090
51091
51092input non_debug_exception;
51093input debug_exception;
51094
51095
51096
51097
51098input eret_q_x;
51099
51100
51101input bret_q_x;
51102
51103
51104
51105input [ (5-1):0] csr;
51106input [ (32-1):0] csr_write_data;
51107input csr_write_enable;
51108
51109
51110
51111
51112
51113output interrupt_exception;
51114wire   interrupt_exception;
51115
51116output [ (32-1):0] csr_read_data;
51117reg    [ (32-1):0] csr_read_data;
51118
51119
51120
51121
51122
51123wire [interrupts-1:0] asserted;
51124
51125wire [interrupts-1:0] interrupt_n_exception;
51126
51127
51128
51129reg ie;
51130reg eie;
51131
51132
51133reg bie;
51134
51135
51136reg [interrupts-1:0] ip;
51137reg [interrupts-1:0] im;
51138
51139
51140
51141
51142
51143
51144assign interrupt_n_exception = ip & im;
51145
51146
51147assign interrupt_exception = (|interrupt_n_exception) & ie;
51148
51149
51150assign asserted = ip | interrupt;
51151
51152generate
51153    if (interrupts > 1)
51154    begin
51155
51156always @(*)
51157begin
51158    case (csr)
51159     5'h0:  csr_read_data = {{ 32-3{1'b0}},
51160
51161
51162                                    bie,
51163
51164
51165
51166
51167                                    eie,
51168                                    ie
51169                                   };
51170     5'h2:  csr_read_data = ip;
51171     5'h1:  csr_read_data = im;
51172    default:       csr_read_data = { 32{1'bx}};
51173    endcase
51174end
51175    end
51176    else
51177    begin
51178
51179always @(*)
51180begin
51181    case (csr)
51182     5'h0:  csr_read_data = {{ 32-3{1'b0}},
51183
51184
51185                                    bie,
51186
51187
51188
51189
51190                                    eie,
51191                                    ie
51192                                   };
51193     5'h2:  csr_read_data = ip;
51194    default:       csr_read_data = { 32{1'bx}};
51195      endcase
51196end
51197    end
51198endgenerate
51199
51200
51201
51202
51203
51204
51205
51206   reg [ 10:0] eie_delay  = 0;
51207
51208
51209generate
51210
51211
51212    if (interrupts > 1)
51213    begin
51214
51215always @(posedge clk_i  )
51216  begin
51217    if (rst_i ==  1'b1)
51218    begin
51219        ie                   <=  1'b0;
51220        eie                  <=  1'b0;
51221
51222
51223        bie                  <=  1'b0;
51224
51225
51226        im                   <= {interrupts{1'b0}};
51227        ip                   <= {interrupts{1'b0}};
51228       eie_delay             <= 0;
51229
51230    end
51231    else
51232    begin
51233
51234        ip                   <= asserted;
51235
51236
51237        if (non_debug_exception ==  1'b1)
51238        begin
51239
51240            eie              <= ie;
51241            ie               <=  1'b0;
51242        end
51243        else if (debug_exception ==  1'b1)
51244        begin
51245
51246            bie              <= ie;
51247            ie               <=  1'b0;
51248        end
51249
51250
51251
51252
51253
51254
51255
51256
51257
51258        else if (stall_x ==  1'b0)
51259        begin
51260
51261           if(eie_delay[0])
51262             ie              <= eie;
51263
51264           eie_delay         <= {1'b0, eie_delay[ 10:1]};
51265
51266            if (eret_q_x ==  1'b1) begin
51267
51268               eie_delay[ 10] <=  1'b1;
51269               eie_delay[ 10-1:0] <= 0;
51270            end
51271
51272
51273
51274
51275
51276            else if (bret_q_x ==  1'b1)
51277
51278                ie      <= bie;
51279
51280
51281            else if (csr_write_enable ==  1'b1)
51282            begin
51283
51284                if (csr ==  5'h0)
51285                begin
51286                    ie  <= csr_write_data[0];
51287                    eie <= csr_write_data[1];
51288
51289
51290                    bie <= csr_write_data[2];
51291
51292
51293                end
51294                if (csr ==  5'h1)
51295                    im  <= csr_write_data[interrupts-1:0];
51296                if (csr ==  5'h2)
51297                    ip  <= asserted & ~csr_write_data[interrupts-1:0];
51298            end
51299        end
51300    end
51301end
51302    end
51303else
51304    begin
51305
51306always @(posedge clk_i  )
51307  begin
51308    if (rst_i ==  1'b1)
51309    begin
51310        ie              <=  1'b0;
51311        eie             <=  1'b0;
51312
51313
51314        bie             <=  1'b0;
51315
51316
51317        ip              <= {interrupts{1'b0}};
51318       eie_delay        <= 0;
51319    end
51320    else
51321    begin
51322
51323        ip              <= asserted;
51324
51325
51326        if (non_debug_exception ==  1'b1)
51327        begin
51328
51329            eie         <= ie;
51330            ie          <=  1'b0;
51331        end
51332        else if (debug_exception ==  1'b1)
51333        begin
51334
51335            bie         <= ie;
51336            ie          <=  1'b0;
51337        end
51338
51339
51340
51341
51342
51343
51344
51345
51346
51347        else if (stall_x ==  1'b0)
51348          begin
51349
51350             if(eie_delay[0])
51351               ie              <= eie;
51352
51353             eie_delay         <= {1'b0, eie_delay[ 10:1]};
51354
51355             if (eret_q_x ==  1'b1) begin
51356
51357                eie_delay[ 10] <=  1'b1;
51358                eie_delay[ 10-1:0] <= 0;
51359             end
51360
51361
51362
51363            else if (bret_q_x ==  1'b1)
51364
51365                ie      <= bie;
51366
51367
51368            else if (csr_write_enable ==  1'b1)
51369            begin
51370
51371                if (csr ==  5'h0)
51372                begin
51373                    ie  <= csr_write_data[0];
51374                    eie <= csr_write_data[1];
51375
51376
51377                    bie <= csr_write_data[2];
51378
51379
51380                end
51381                if (csr ==  5'h2)
51382                    ip  <= asserted & ~csr_write_data[interrupts-1:0];
51383            end
51384        end
51385    end
51386end
51387    end
51388endgenerate
51389
51390endmodule
51391
51392
51393
51394
51395
51396
51397
51398
51399
51400
51401
51402
51403
51404
51405
51406
51407
51408
51409
51410
51411
51412
51413
51414
51415
51416
51417
51418
51419
51420
51421
51422
51423
51424
51425
51426
51427
51428
51429
51430
51431
51432
51433
51434
51435
51436
51437
51438
51439
51440
51441
51442
51443
51444
51445
51446
51447
51448
51449
51450
51451
51452
51453
51454
51455
51456
51457
51458
51459
51460
51461
51462
51463
51464
51465
51466
51467
51468
51469
51470
51471
51472
51473
51474
51475
51476
51477
51478
51479
51480
51481
51482
51483
51484
51485
51486
51487
51488
51489
51490
51491
51492
51493
51494
51495
51496
51497
51498
51499
51500
51501
51502
51503
51504
51505
51506
51507
51508
51509
51510
51511
51512
51513
51514
51515
51516
51517
51518
51519
51520
51521
51522
51523
51524
51525
51526
51527
51528
51529
51530
51531
51532
51533
51534
51535
51536
51537
51538
51539
51540
51541
51542
51543
51544
51545
51546
51547
51548
51549
51550
51551
51552
51553
51554
51555
51556
51557
51558
51559
51560
51561
51562
51563
51564
51565
51566
51567
51568
51569
51570
51571
51572
51573
51574
51575
51576
51577
51578
51579
51580
51581
51582
51583
51584
51585
51586
51587
51588
51589
51590
51591
51592
51593
51594
51595
51596
51597
51598
51599
51600
51601
51602
51603
51604
51605
51606
51607
51608
51609
51610
51611
51612
51613
51614
51615
51616
51617
51618
51619
51620
51621
51622
51623
51624
51625
51626
51627
51628
51629
51630
51631
51632
51633
51634
51635
51636
51637
51638
51639
51640
51641
51642
51643
51644
51645
51646
51647
51648
51649
51650
51651
51652
51653
51654
51655
51656
51657
51658
51659
51660
51661
51662
51663
51664
51665
51666
51667
51668
51669
51670
51671
51672
51673
51674
51675
51676
51677
51678
51679
51680
51681
51682
51683
51684
51685
51686
51687
51688
51689
51690
51691
51692
51693
51694
51695
51696
51697
51698
51699
51700
51701
51702
51703
51704
51705
51706
51707
51708
51709
51710
51711
51712
51713
51714
51715
51716
51717
51718
51719
51720
51721
51722
51723
51724
51725
51726
51727
51728
51729
51730
51731
51732
51733
51734
51735
51736
51737
51738
51739
51740
51741
51742
51743
51744
51745
51746
51747
51748
51749
51750
51751
51752
51753
51754
51755
51756
51757
51758
51759
51760
51761
51762
51763
51764
51765
51766
51767
51768
51769
51770
51771
51772
51773
51774
51775
51776
51777
51778
51779
51780
51781
51782
51783
51784
51785
51786
51787
51788
51789
51790
51791
51792
51793
51794
51795
51796
51797
51798
51799
51800
51801
51802
51803
51804
51805
51806
51807
51808
51809
51810
51811
51812
51813
51814
51815
51816
51817
51818
51819
51820
51821
51822
51823
51824
51825
51826
51827
51828
51829
51830
51831
51832
51833
51834
51835
51836
51837
51838
51839
51840
51841
51842
51843
51844
51845
51846
51847
51848
51849
51850
51851
51852
51853
51854
51855
51856
51857
51858
51859
51860
51861
51862
51863
51864
51865
51866
51867
51868
51869
51870
51871
51872
51873
51874
51875
51876
51877
51878
51879
51880
51881
51882
51883
51884
51885
51886
51887
51888
51889
51890
51891
51892
51893
51894
51895
51896
51897
51898
51899
51900
51901
51902
51903
51904
51905
51906
51907
51908
51909
51910
51911
51912
51913
51914
51915
51916
51917
51918
51919
51920
51921
51922
51923
51924
51925
51926
51927
51928
51929
51930
51931
51932
51933
51934
51935
51936
51937
51938
51939
51940
51941
51942
51943
51944
51945
51946
51947
51948
51949
51950
51951
51952
51953
51954
51955
51956
51957
51958
51959
51960
51961module lm32_top_medium_icache (
51962
51963    clk_i,
51964    rst_i,
51965
51966
51967    interrupt,
51968
51969
51970
51971
51972
51973
51974
51975
51976
51977
51978    I_DAT_I,
51979    I_ACK_I,
51980    I_ERR_I,
51981    I_RTY_I,
51982
51983
51984
51985    D_DAT_I,
51986    D_ACK_I,
51987    D_ERR_I,
51988    D_RTY_I,
51989
51990
51991
51992
51993
51994
51995
51996
51997
51998
51999
52000    I_DAT_O,
52001    I_ADR_O,
52002    I_CYC_O,
52003    I_SEL_O,
52004    I_STB_O,
52005    I_WE_O,
52006    I_CTI_O,
52007    I_LOCK_O,
52008    I_BTE_O,
52009
52010
52011
52012    D_DAT_O,
52013    D_ADR_O,
52014    D_CYC_O,
52015    D_SEL_O,
52016    D_STB_O,
52017    D_WE_O,
52018    D_CTI_O,
52019    D_LOCK_O,
52020    D_BTE_O
52021    );
52022
52023parameter eba_reset = 32'h00000000;
52024parameter sdb_address = 32'h00000000;
52025
52026
52027
52028
52029input clk_i;
52030input rst_i;
52031
52032
52033input [ (32-1):0] interrupt;
52034
52035
52036
52037
52038
52039
52040
52041
52042
52043
52044input [ (32-1):0] I_DAT_I;
52045input I_ACK_I;
52046input I_ERR_I;
52047input I_RTY_I;
52048
52049
52050
52051input [ (32-1):0] D_DAT_I;
52052input D_ACK_I;
52053input D_ERR_I;
52054input D_RTY_I;
52055
52056
52057
52058
52059
52060
52061
52062
52063
52064
52065
52066
52067
52068
52069
52070
52071
52072
52073
52074output [ (32-1):0] I_DAT_O;
52075wire   [ (32-1):0] I_DAT_O;
52076output [ (32-1):0] I_ADR_O;
52077wire   [ (32-1):0] I_ADR_O;
52078output I_CYC_O;
52079wire   I_CYC_O;
52080output [ (4-1):0] I_SEL_O;
52081wire   [ (4-1):0] I_SEL_O;
52082output I_STB_O;
52083wire   I_STB_O;
52084output I_WE_O;
52085wire   I_WE_O;
52086output [ (3-1):0] I_CTI_O;
52087wire   [ (3-1):0] I_CTI_O;
52088output I_LOCK_O;
52089wire   I_LOCK_O;
52090output [ (2-1):0] I_BTE_O;
52091wire   [ (2-1):0] I_BTE_O;
52092
52093
52094
52095output [ (32-1):0] D_DAT_O;
52096wire   [ (32-1):0] D_DAT_O;
52097output [ (32-1):0] D_ADR_O;
52098wire   [ (32-1):0] D_ADR_O;
52099output D_CYC_O;
52100wire   D_CYC_O;
52101output [ (4-1):0] D_SEL_O;
52102wire   [ (4-1):0] D_SEL_O;
52103output D_STB_O;
52104wire   D_STB_O;
52105output D_WE_O;
52106wire   D_WE_O;
52107output [ (3-1):0] D_CTI_O;
52108wire   [ (3-1):0] D_CTI_O;
52109output D_LOCK_O;
52110wire   D_LOCK_O;
52111output [ (2-1):0] D_BTE_O;
52112wire   [ (2-1):0] D_BTE_O;
52113
52114
52115
52116
52117
52118
52119
52120
52121
52122
52123
52124
52125
52126
52127
52128
52129
52130
52131
52132
52133
52134
52135
52136
52137
52138
52139
52140
52141
52142
52143
52144
52145
52146
52147
52148
52149
52150
52151
52152
52153
52154
52155
52156
52157
52158
52159
52160
52161
52162
52163
52164
52165
52166
52167
52168
52169
52170
52171
52172
52173
52174
52175
52176
52177
52178function integer clogb2;
52179input [31:0] value;
52180begin
52181   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
52182        value = value >> 1;
52183end
52184endfunction
52185
52186function integer clogb2_v1;
52187input [31:0] value;
52188reg   [31:0] i;
52189reg   [31:0] temp;
52190begin
52191   temp = 0;
52192   i    = 0;
52193   for (i = 0; temp < value; i = i + 1)
52194	temp = 1<<i;
52195   clogb2_v1 = i-1;
52196end
52197endfunction
52198
52199
52200
52201
52202
52203
52204
52205
52206lm32_cpu_medium_icache
52207	#(
52208		.eba_reset(eba_reset),
52209    .sdb_address(sdb_address)
52210	) cpu (
52211
52212    .clk_i                 (clk_i),
52213
52214
52215
52216
52217    .rst_i                 (rst_i),
52218
52219
52220
52221    .interrupt             (interrupt),
52222
52223
52224
52225
52226
52227
52228
52229
52230
52231
52232
52233
52234
52235
52236
52237
52238
52239
52240
52241    .I_DAT_I               (I_DAT_I),
52242    .I_ACK_I               (I_ACK_I),
52243    .I_ERR_I               (I_ERR_I),
52244    .I_RTY_I               (I_RTY_I),
52245
52246
52247
52248    .D_DAT_I               (D_DAT_I),
52249    .D_ACK_I               (D_ACK_I),
52250    .D_ERR_I               (D_ERR_I),
52251    .D_RTY_I               (D_RTY_I),
52252
52253
52254
52255
52256
52257
52258
52259
52260
52261
52262
52263
52264
52265
52266
52267
52268
52269
52270
52271
52272
52273
52274
52275
52276
52277
52278
52279    .I_DAT_O               (I_DAT_O),
52280    .I_ADR_O               (I_ADR_O),
52281    .I_CYC_O               (I_CYC_O),
52282    .I_SEL_O               (I_SEL_O),
52283    .I_STB_O               (I_STB_O),
52284    .I_WE_O                (I_WE_O),
52285    .I_CTI_O               (I_CTI_O),
52286    .I_LOCK_O              (I_LOCK_O),
52287    .I_BTE_O               (I_BTE_O),
52288
52289
52290
52291    .D_DAT_O               (D_DAT_O),
52292    .D_ADR_O               (D_ADR_O),
52293    .D_CYC_O               (D_CYC_O),
52294    .D_SEL_O               (D_SEL_O),
52295    .D_STB_O               (D_STB_O),
52296    .D_WE_O                (D_WE_O),
52297    .D_CTI_O               (D_CTI_O),
52298    .D_LOCK_O              (D_LOCK_O),
52299    .D_BTE_O               (D_BTE_O)
52300    );
52301
52302
52303
52304
52305
52306
52307
52308
52309
52310
52311
52312
52313
52314
52315
52316
52317
52318endmodule
52319
52320
52321
52322
52323
52324
52325
52326
52327
52328
52329
52330
52331
52332
52333
52334
52335
52336
52337
52338
52339
52340
52341
52342
52343
52344
52345
52346
52347
52348
52349
52350
52351
52352
52353
52354
52355
52356
52357
52358
52359
52360
52361
52362
52363
52364
52365
52366
52367
52368
52369
52370
52371
52372
52373
52374
52375
52376
52377
52378
52379
52380
52381
52382
52383
52384
52385
52386
52387
52388
52389
52390
52391
52392
52393
52394
52395
52396
52397
52398
52399
52400
52401
52402
52403
52404
52405
52406
52407
52408
52409
52410
52411
52412
52413
52414
52415
52416
52417
52418
52419
52420
52421
52422
52423
52424
52425
52426
52427
52428
52429
52430
52431
52432
52433
52434
52435
52436
52437
52438
52439
52440
52441
52442
52443
52444
52445
52446
52447
52448
52449
52450
52451
52452
52453
52454
52455
52456
52457
52458
52459
52460
52461
52462
52463
52464
52465
52466
52467
52468
52469
52470
52471
52472
52473
52474
52475
52476
52477
52478
52479
52480
52481
52482
52483
52484
52485
52486
52487
52488
52489
52490
52491
52492
52493
52494
52495
52496
52497
52498
52499
52500
52501
52502
52503
52504
52505
52506
52507
52508
52509
52510
52511
52512
52513
52514
52515
52516
52517
52518
52519
52520
52521
52522
52523
52524
52525
52526
52527
52528
52529
52530
52531
52532
52533
52534
52535
52536
52537
52538
52539
52540
52541
52542
52543
52544
52545
52546
52547
52548
52549
52550
52551
52552
52553
52554
52555
52556
52557
52558
52559
52560
52561
52562
52563
52564
52565
52566
52567
52568
52569
52570
52571
52572
52573
52574
52575
52576
52577
52578
52579
52580
52581
52582
52583
52584
52585
52586
52587
52588
52589
52590
52591
52592
52593
52594
52595
52596
52597
52598
52599
52600
52601
52602
52603
52604
52605
52606
52607
52608
52609
52610
52611
52612
52613
52614
52615
52616
52617
52618
52619
52620
52621
52622
52623
52624
52625
52626
52627
52628
52629
52630
52631
52632
52633
52634
52635
52636
52637
52638
52639
52640
52641
52642
52643
52644
52645
52646
52647
52648
52649
52650
52651
52652
52653
52654
52655
52656
52657
52658
52659
52660
52661
52662
52663
52664
52665
52666
52667
52668
52669
52670
52671
52672
52673
52674
52675
52676
52677
52678
52679
52680
52681
52682
52683
52684
52685
52686
52687
52688
52689
52690
52691
52692
52693
52694module lm32_mc_arithmetic_medium_icache (
52695
52696    clk_i,
52697    rst_i,
52698    stall_d,
52699    kill_x,
52700
52701
52702
52703
52704
52705
52706
52707
52708
52709
52710
52711
52712
52713
52714
52715    operand_0_d,
52716    operand_1_d,
52717
52718    result_x,
52719
52720
52721
52722
52723    stall_request_x
52724    );
52725
52726
52727
52728
52729
52730input clk_i;
52731input rst_i;
52732input stall_d;
52733input kill_x;
52734
52735
52736
52737
52738
52739
52740
52741
52742
52743
52744
52745
52746
52747
52748
52749input [ (32-1):0] operand_0_d;
52750input [ (32-1):0] operand_1_d;
52751
52752
52753
52754
52755
52756output [ (32-1):0] result_x;
52757reg    [ (32-1):0] result_x;
52758
52759
52760
52761
52762
52763output stall_request_x;
52764wire   stall_request_x;
52765
52766
52767
52768
52769
52770reg [ (32-1):0] p;
52771reg [ (32-1):0] a;
52772reg [ (32-1):0] b;
52773
52774
52775
52776
52777
52778reg [ 2:0] state;
52779reg [5:0] cycles;
52780
52781
52782
52783
52784
52785
52786
52787
52788
52789
52790
52791
52792assign stall_request_x = state !=  3'b000;
52793
52794
52795
52796
52797
52798
52799
52800
52801
52802
52803
52804
52805
52806
52807
52808
52809
52810
52811always @(posedge clk_i  )
52812begin
52813    if (rst_i ==  1'b1)
52814    begin
52815        cycles <= {6{1'b0}};
52816        p <= { 32{1'b0}};
52817        a <= { 32{1'b0}};
52818        b <= { 32{1'b0}};
52819
52820
52821
52822
52823
52824
52825
52826
52827        result_x <= { 32{1'b0}};
52828        state <=  3'b000;
52829    end
52830    else
52831    begin
52832
52833
52834
52835
52836        case (state)
52837         3'b000:
52838        begin
52839            if (stall_d ==  1'b0)
52840            begin
52841                cycles <=  32;
52842                p <= 32'b0;
52843                a <= operand_0_d;
52844                b <= operand_1_d;
52845
52846
52847
52848
52849
52850
52851
52852
52853
52854
52855
52856
52857
52858
52859
52860
52861
52862
52863
52864
52865
52866
52867
52868
52869
52870
52871
52872
52873
52874
52875
52876            end
52877        end
52878
52879
52880
52881
52882
52883
52884
52885
52886
52887
52888
52889
52890
52891
52892
52893
52894
52895
52896
52897
52898
52899
52900
52901
52902
52903
52904
52905
52906
52907
52908
52909
52910
52911
52912
52913
52914
52915
52916
52917
52918
52919
52920
52921
52922
52923
52924
52925
52926
52927
52928
52929
52930
52931
52932
52933
52934
52935
52936
52937
52938
52939
52940
52941
52942
52943
52944
52945
52946
52947
52948
52949
52950
52951
52952
52953
52954
52955
52956        endcase
52957    end
52958end
52959
52960endmodule
52961
52962
52963
52964
52965
52966
52967
52968
52969
52970
52971
52972
52973
52974
52975
52976
52977
52978
52979
52980
52981
52982
52983
52984
52985
52986
52987
52988
52989
52990
52991
52992
52993
52994
52995
52996
52997
52998
52999
53000
53001
53002
53003
53004
53005
53006
53007
53008
53009
53010
53011
53012
53013
53014
53015
53016
53017
53018
53019
53020
53021
53022
53023
53024
53025
53026
53027
53028
53029
53030
53031
53032
53033
53034
53035
53036
53037
53038
53039
53040
53041
53042
53043
53044
53045
53046
53047
53048
53049
53050
53051
53052
53053
53054
53055
53056
53057
53058
53059
53060
53061
53062
53063
53064
53065
53066
53067
53068
53069
53070
53071
53072
53073
53074
53075
53076
53077
53078
53079
53080
53081
53082
53083
53084
53085
53086
53087
53088
53089
53090
53091
53092
53093
53094
53095
53096
53097
53098
53099
53100
53101
53102
53103
53104
53105
53106
53107
53108
53109
53110
53111
53112
53113
53114
53115
53116
53117
53118
53119
53120
53121
53122
53123
53124
53125
53126
53127
53128
53129
53130
53131
53132
53133
53134
53135
53136
53137
53138
53139
53140
53141
53142
53143
53144
53145
53146
53147
53148
53149
53150
53151
53152
53153
53154
53155
53156
53157
53158
53159
53160
53161
53162
53163
53164
53165
53166
53167
53168
53169
53170
53171
53172
53173
53174
53175
53176
53177
53178
53179
53180
53181
53182
53183
53184
53185
53186
53187
53188
53189
53190
53191
53192
53193
53194
53195
53196
53197
53198
53199
53200
53201
53202
53203
53204
53205
53206
53207
53208
53209
53210
53211
53212
53213
53214
53215
53216
53217
53218
53219
53220
53221
53222
53223
53224
53225
53226
53227
53228
53229
53230
53231
53232
53233
53234
53235
53236
53237
53238
53239
53240
53241
53242
53243
53244
53245
53246
53247
53248
53249
53250
53251
53252
53253
53254
53255
53256
53257
53258
53259
53260
53261
53262
53263
53264
53265
53266
53267
53268
53269
53270
53271
53272
53273
53274
53275
53276
53277
53278
53279
53280
53281
53282
53283
53284
53285
53286
53287
53288
53289
53290
53291
53292
53293
53294
53295
53296
53297
53298
53299
53300
53301
53302
53303
53304
53305
53306
53307
53308
53309
53310
53311
53312
53313
53314
53315
53316
53317
53318
53319
53320
53321
53322
53323
53324
53325
53326
53327
53328
53329
53330
53331
53332
53333
53334
53335
53336
53337
53338
53339
53340
53341
53342
53343
53344
53345
53346
53347
53348
53349
53350
53351
53352
53353
53354
53355
53356
53357module lm32_cpu_medium_icache (
53358
53359    clk_i,
53360
53361
53362
53363
53364    rst_i,
53365
53366
53367
53368
53369
53370
53371
53372
53373
53374
53375
53376
53377
53378
53379
53380
53381
53382    interrupt,
53383
53384
53385
53386
53387
53388
53389
53390
53391
53392
53393
53394
53395
53396
53397
53398
53399
53400
53401
53402    I_DAT_I,
53403    I_ACK_I,
53404    I_ERR_I,
53405    I_RTY_I,
53406
53407
53408
53409    D_DAT_I,
53410    D_ACK_I,
53411    D_ERR_I,
53412    D_RTY_I,
53413
53414
53415
53416
53417
53418
53419
53420
53421
53422
53423
53424
53425
53426
53427
53428
53429
53430
53431
53432
53433
53434
53435
53436
53437
53438
53439
53440    I_DAT_O,
53441    I_ADR_O,
53442    I_CYC_O,
53443    I_SEL_O,
53444    I_STB_O,
53445    I_WE_O,
53446    I_CTI_O,
53447    I_LOCK_O,
53448    I_BTE_O,
53449
53450
53451
53452
53453
53454
53455
53456
53457
53458
53459
53460
53461
53462
53463
53464
53465
53466    D_DAT_O,
53467    D_ADR_O,
53468    D_CYC_O,
53469    D_SEL_O,
53470    D_STB_O,
53471    D_WE_O,
53472    D_CTI_O,
53473    D_LOCK_O,
53474    D_BTE_O
53475
53476
53477    );
53478
53479
53480
53481
53482
53483parameter eba_reset =  32'h00000000;
53484
53485
53486
53487
53488parameter sdb_address =   32'h00000000;
53489
53490
53491
53492parameter icache_associativity =  1;
53493parameter icache_sets =  256;
53494parameter icache_bytes_per_line =  16;
53495parameter icache_base_address =  32'h0;
53496parameter icache_limit =  32'h7fffffff;
53497
53498
53499
53500
53501
53502
53503
53504
53505
53506
53507
53508
53509
53510
53511
53512
53513
53514parameter dcache_associativity = 1;
53515parameter dcache_sets = 512;
53516parameter dcache_bytes_per_line = 16;
53517parameter dcache_base_address = 0;
53518parameter dcache_limit = 0;
53519
53520
53521
53522
53523
53524
53525
53526parameter watchpoints = 0;
53527
53528
53529
53530
53531
53532
53533parameter breakpoints = 0;
53534
53535
53536
53537
53538
53539parameter interrupts =  32;
53540
53541
53542
53543
53544
53545
53546
53547
53548
53549input clk_i;
53550
53551
53552
53553
53554input rst_i;
53555
53556
53557
53558input [ (32-1):0] interrupt;
53559
53560
53561
53562
53563
53564
53565
53566
53567
53568
53569
53570
53571
53572
53573
53574
53575
53576
53577
53578input [ (32-1):0] I_DAT_I;
53579input I_ACK_I;
53580input I_ERR_I;
53581input I_RTY_I;
53582
53583
53584
53585input [ (32-1):0] D_DAT_I;
53586input D_ACK_I;
53587input D_ERR_I;
53588input D_RTY_I;
53589
53590
53591
53592
53593
53594
53595
53596
53597
53598
53599
53600
53601
53602
53603
53604
53605
53606
53607
53608
53609
53610
53611
53612
53613
53614
53615
53616
53617
53618
53619
53620
53621
53622
53623
53624
53625
53626
53627
53628
53629
53630
53631
53632
53633
53634
53635
53636
53637
53638
53639
53640
53641output [ (32-1):0] I_DAT_O;
53642wire   [ (32-1):0] I_DAT_O;
53643output [ (32-1):0] I_ADR_O;
53644wire   [ (32-1):0] I_ADR_O;
53645output I_CYC_O;
53646wire   I_CYC_O;
53647output [ (4-1):0] I_SEL_O;
53648wire   [ (4-1):0] I_SEL_O;
53649output I_STB_O;
53650wire   I_STB_O;
53651output I_WE_O;
53652wire   I_WE_O;
53653output [ (3-1):0] I_CTI_O;
53654wire   [ (3-1):0] I_CTI_O;
53655output I_LOCK_O;
53656wire   I_LOCK_O;
53657output [ (2-1):0] I_BTE_O;
53658wire   [ (2-1):0] I_BTE_O;
53659
53660
53661
53662output [ (32-1):0] D_DAT_O;
53663wire   [ (32-1):0] D_DAT_O;
53664output [ (32-1):0] D_ADR_O;
53665wire   [ (32-1):0] D_ADR_O;
53666output D_CYC_O;
53667wire   D_CYC_O;
53668output [ (4-1):0] D_SEL_O;
53669wire   [ (4-1):0] D_SEL_O;
53670output D_STB_O;
53671wire   D_STB_O;
53672output D_WE_O;
53673wire   D_WE_O;
53674output [ (3-1):0] D_CTI_O;
53675wire   [ (3-1):0] D_CTI_O;
53676output D_LOCK_O;
53677wire   D_LOCK_O;
53678output [ (2-1):0] D_BTE_O;
53679wire   [ (2-1):0] D_BTE_O;
53680
53681
53682
53683
53684
53685
53686
53687
53688
53689
53690
53691
53692
53693
53694
53695
53696
53697
53698reg valid_a;
53699
53700
53701reg valid_f;
53702reg valid_d;
53703reg valid_x;
53704reg valid_m;
53705reg valid_w;
53706
53707wire q_x;
53708wire [ (32-1):0] immediate_d;
53709wire load_d;
53710reg load_x;
53711reg load_m;
53712wire load_q_x;
53713wire store_q_x;
53714wire q_m;
53715wire load_q_m;
53716wire store_q_m;
53717wire store_d;
53718reg store_x;
53719reg store_m;
53720wire [ 1:0] size_d;
53721reg [ 1:0] size_x;
53722wire branch_d;
53723wire branch_predict_d;
53724wire branch_predict_taken_d;
53725wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_predict_address_d;
53726wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_target_d;
53727wire bi_unconditional;
53728wire bi_conditional;
53729reg branch_x;
53730reg branch_predict_x;
53731reg branch_predict_taken_x;
53732reg branch_m;
53733reg branch_predict_m;
53734reg branch_predict_taken_m;
53735wire branch_mispredict_taken_m;
53736wire branch_flushX_m;
53737wire branch_reg_d;
53738wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_offset_d;
53739reg [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_target_x;
53740reg [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_target_m;
53741wire [ 0:0] d_result_sel_0_d;
53742wire [ 1:0] d_result_sel_1_d;
53743
53744wire x_result_sel_csr_d;
53745reg x_result_sel_csr_x;
53746
53747
53748
53749
53750
53751
53752
53753
53754
53755
53756
53757
53758
53759wire x_result_sel_sext_d;
53760reg x_result_sel_sext_x;
53761
53762
53763wire x_result_sel_logic_d;
53764
53765
53766
53767
53768
53769wire x_result_sel_add_d;
53770reg x_result_sel_add_x;
53771wire m_result_sel_compare_d;
53772reg m_result_sel_compare_x;
53773reg m_result_sel_compare_m;
53774
53775
53776wire m_result_sel_shift_d;
53777reg m_result_sel_shift_x;
53778reg m_result_sel_shift_m;
53779
53780
53781wire w_result_sel_load_d;
53782reg w_result_sel_load_x;
53783reg w_result_sel_load_m;
53784reg w_result_sel_load_w;
53785
53786
53787wire w_result_sel_mul_d;
53788reg w_result_sel_mul_x;
53789reg w_result_sel_mul_m;
53790reg w_result_sel_mul_w;
53791
53792
53793wire x_bypass_enable_d;
53794reg x_bypass_enable_x;
53795wire m_bypass_enable_d;
53796reg m_bypass_enable_x;
53797reg m_bypass_enable_m;
53798wire sign_extend_d;
53799reg sign_extend_x;
53800wire write_enable_d;
53801reg write_enable_x;
53802wire write_enable_q_x;
53803reg write_enable_m;
53804wire write_enable_q_m;
53805reg write_enable_w;
53806wire write_enable_q_w;
53807wire read_enable_0_d;
53808wire [ (5-1):0] read_idx_0_d;
53809wire read_enable_1_d;
53810wire [ (5-1):0] read_idx_1_d;
53811wire [ (5-1):0] write_idx_d;
53812reg [ (5-1):0] write_idx_x;
53813reg [ (5-1):0] write_idx_m;
53814reg [ (5-1):0] write_idx_w;
53815wire [ (4 -1):0] csr_d;
53816reg  [ (4 -1):0] csr_x;
53817wire [ (3-1):0] condition_d;
53818reg [ (3-1):0] condition_x;
53819
53820
53821
53822
53823
53824wire scall_d;
53825reg scall_x;
53826wire eret_d;
53827reg eret_x;
53828wire eret_q_x;
53829
53830
53831
53832
53833
53834
53835
53836
53837
53838
53839
53840
53841
53842
53843
53844wire csr_write_enable_d;
53845reg csr_write_enable_x;
53846wire csr_write_enable_q_x;
53847
53848
53849
53850
53851
53852
53853
53854
53855
53856
53857
53858
53859
53860reg [ (32-1):0] d_result_0;
53861reg [ (32-1):0] d_result_1;
53862reg [ (32-1):0] x_result;
53863reg [ (32-1):0] m_result;
53864reg [ (32-1):0] w_result;
53865
53866reg [ (32-1):0] operand_0_x;
53867reg [ (32-1):0] operand_1_x;
53868reg [ (32-1):0] store_operand_x;
53869reg [ (32-1):0] operand_m;
53870reg [ (32-1):0] operand_w;
53871
53872
53873
53874
53875reg [ (32-1):0] reg_data_live_0;
53876reg [ (32-1):0] reg_data_live_1;
53877reg use_buf;
53878reg [ (32-1):0] reg_data_buf_0;
53879reg [ (32-1):0] reg_data_buf_1;
53880
53881
53882
53883
53884
53885
53886
53887
53888wire [ (32-1):0] reg_data_0;
53889wire [ (32-1):0] reg_data_1;
53890reg [ (32-1):0] bypass_data_0;
53891reg [ (32-1):0] bypass_data_1;
53892wire reg_write_enable_q_w;
53893
53894reg interlock;
53895
53896wire stall_a;
53897wire stall_f;
53898wire stall_d;
53899wire stall_x;
53900wire stall_m;
53901
53902
53903wire adder_op_d;
53904reg adder_op_x;
53905reg adder_op_x_n;
53906wire [ (32-1):0] adder_result_x;
53907wire adder_overflow_x;
53908wire adder_carry_n_x;
53909
53910
53911wire [ 3:0] logic_op_d;
53912reg [ 3:0] logic_op_x;
53913wire [ (32-1):0] logic_result_x;
53914
53915
53916
53917
53918wire [ (32-1):0] sextb_result_x;
53919wire [ (32-1):0] sexth_result_x;
53920wire [ (32-1):0] sext_result_x;
53921
53922
53923
53924
53925
53926
53927
53928
53929
53930
53931
53932wire direction_d;
53933reg direction_x;
53934wire [ (32-1):0] shifter_result_m;
53935
53936
53937
53938
53939
53940
53941
53942
53943
53944
53945
53946
53947
53948
53949
53950
53951
53952wire [ (32-1):0] multiplier_result_w;
53953
53954
53955
53956
53957
53958
53959
53960
53961
53962
53963
53964
53965
53966
53967
53968
53969
53970
53971
53972
53973
53974
53975
53976
53977
53978
53979
53980
53981wire [ (32-1):0] interrupt_csr_read_data_x;
53982
53983
53984wire [ (32-1):0] cfg;
53985wire [ (32-1):0] cfg2;
53986
53987
53988
53989
53990reg [ (32-1):0] csr_read_data_x;
53991
53992
53993wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_f;
53994wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_d;
53995wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_x;
53996wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_m;
53997wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_w;
53998
53999
54000
54001
54002
54003
54004wire [ (32-1):0] instruction_f;
54005
54006
54007
54008
54009wire [ (32-1):0] instruction_d;
54010
54011
54012wire iflush;
54013wire icache_stall_request;
54014wire icache_restart_request;
54015wire icache_refill_request;
54016wire icache_refilling;
54017
54018
54019
54020
54021
54022
54023
54024
54025
54026
54027
54028
54029
54030wire [ (32-1):0] load_data_w;
54031wire stall_wb_load;
54032
54033
54034
54035
54036
54037
54038
54039
54040
54041
54042
54043
54044
54045
54046
54047
54048
54049
54050
54051
54052
54053
54054
54055
54056
54057wire raw_x_0;
54058wire raw_x_1;
54059wire raw_m_0;
54060wire raw_m_1;
54061wire raw_w_0;
54062wire raw_w_1;
54063
54064
54065wire cmp_zero;
54066wire cmp_negative;
54067wire cmp_overflow;
54068wire cmp_carry_n;
54069reg condition_met_x;
54070reg condition_met_m;
54071
54072
54073
54074
54075wire branch_taken_m;
54076
54077wire kill_f;
54078wire kill_d;
54079wire kill_x;
54080wire kill_m;
54081wire kill_w;
54082
54083reg [ (clogb2(32'h7fffffff-32'h0)-2)+2-1:8] eba;
54084
54085
54086
54087
54088reg [ (3-1):0] eid_x;
54089
54090
54091
54092
54093
54094
54095
54096
54097
54098
54099
54100
54101
54102
54103
54104
54105
54106
54107
54108
54109
54110
54111
54112
54113
54114wire exception_x;
54115reg exception_m;
54116reg exception_w;
54117wire exception_q_w;
54118
54119
54120
54121
54122
54123
54124
54125
54126
54127
54128
54129
54130
54131
54132wire interrupt_exception;
54133
54134
54135
54136
54137
54138
54139
54140
54141
54142
54143
54144
54145
54146
54147
54148
54149
54150
54151wire system_call_exception;
54152
54153
54154
54155
54156
54157
54158
54159
54160
54161
54162
54163
54164
54165
54166
54167
54168
54169
54170
54171
54172
54173
54174
54175
54176
54177
54178
54179
54180
54181
54182
54183
54184
54185
54186
54187
54188
54189
54190
54191
54192
54193
54194
54195
54196
54197
54198
54199
54200
54201
54202
54203
54204
54205
54206
54207
54208
54209
54210
54211
54212
54213
54214
54215
54216function integer clogb2;
54217input [31:0] value;
54218begin
54219   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
54220        value = value >> 1;
54221end
54222endfunction
54223
54224function integer clogb2_v1;
54225input [31:0] value;
54226reg   [31:0] i;
54227reg   [31:0] temp;
54228begin
54229   temp = 0;
54230   i    = 0;
54231   for (i = 0; temp < value; i = i + 1)
54232	temp = 1<<i;
54233   clogb2_v1 = i-1;
54234end
54235endfunction
54236
54237
54238
54239
54240
54241
54242
54243
54244
54245lm32_instruction_unit_medium_icache #(
54246    .eba_reset              (eba_reset),
54247    .associativity          (icache_associativity),
54248    .sets                   (icache_sets),
54249    .bytes_per_line         (icache_bytes_per_line),
54250    .base_address           (icache_base_address),
54251    .limit                  (icache_limit)
54252  ) instruction_unit (
54253
54254    .clk_i                  (clk_i),
54255    .rst_i                  (rst_i),
54256
54257    .stall_a                (stall_a),
54258    .stall_f                (stall_f),
54259    .stall_d                (stall_d),
54260    .stall_x                (stall_x),
54261    .stall_m                (stall_m),
54262    .valid_f                (valid_f),
54263    .valid_d                (valid_d),
54264    .kill_f                 (kill_f),
54265    .branch_predict_taken_d (branch_predict_taken_d),
54266    .branch_predict_address_d (branch_predict_address_d),
54267
54268
54269
54270
54271
54272    .exception_m            (exception_m),
54273    .branch_taken_m         (branch_taken_m),
54274    .branch_mispredict_taken_m (branch_mispredict_taken_m),
54275    .branch_target_m        (branch_target_m),
54276
54277
54278    .iflush                 (iflush),
54279
54280
54281
54282
54283
54284
54285
54286
54287
54288
54289
54290    .i_dat_i                (I_DAT_I),
54291    .i_ack_i                (I_ACK_I),
54292    .i_err_i                (I_ERR_I),
54293    .i_rty_i                (I_RTY_I),
54294
54295
54296
54297
54298
54299
54300
54301
54302
54303
54304
54305    .pc_f                   (pc_f),
54306    .pc_d                   (pc_d),
54307    .pc_x                   (pc_x),
54308    .pc_m                   (pc_m),
54309    .pc_w                   (pc_w),
54310
54311
54312    .icache_stall_request   (icache_stall_request),
54313    .icache_restart_request (icache_restart_request),
54314    .icache_refill_request  (icache_refill_request),
54315    .icache_refilling       (icache_refilling),
54316
54317
54318
54319
54320
54321    .i_dat_o                (I_DAT_O),
54322    .i_adr_o                (I_ADR_O),
54323    .i_cyc_o                (I_CYC_O),
54324    .i_sel_o                (I_SEL_O),
54325    .i_stb_o                (I_STB_O),
54326    .i_we_o                 (I_WE_O),
54327    .i_cti_o                (I_CTI_O),
54328    .i_lock_o               (I_LOCK_O),
54329    .i_bte_o                (I_BTE_O),
54330
54331
54332
54333
54334
54335
54336
54337
54338
54339
54340
54341
54342
54343
54344
54345
54346
54347
54348
54349
54350
54351    .instruction_f          (instruction_f),
54352
54353
54354
54355
54356    .instruction_d          (instruction_d)
54357
54358
54359
54360    );
54361
54362
54363lm32_decoder_medium_icache decoder (
54364
54365    .instruction            (instruction_d),
54366
54367    .d_result_sel_0         (d_result_sel_0_d),
54368    .d_result_sel_1         (d_result_sel_1_d),
54369    .x_result_sel_csr       (x_result_sel_csr_d),
54370
54371
54372
54373
54374
54375
54376
54377
54378
54379
54380    .x_result_sel_sext      (x_result_sel_sext_d),
54381
54382
54383    .x_result_sel_logic     (x_result_sel_logic_d),
54384
54385
54386
54387
54388    .x_result_sel_add       (x_result_sel_add_d),
54389    .m_result_sel_compare   (m_result_sel_compare_d),
54390
54391
54392    .m_result_sel_shift     (m_result_sel_shift_d),
54393
54394
54395    .w_result_sel_load      (w_result_sel_load_d),
54396
54397
54398    .w_result_sel_mul       (w_result_sel_mul_d),
54399
54400
54401    .x_bypass_enable        (x_bypass_enable_d),
54402    .m_bypass_enable        (m_bypass_enable_d),
54403    .read_enable_0          (read_enable_0_d),
54404    .read_idx_0             (read_idx_0_d),
54405    .read_enable_1          (read_enable_1_d),
54406    .read_idx_1             (read_idx_1_d),
54407    .write_enable           (write_enable_d),
54408    .write_idx              (write_idx_d),
54409    .immediate              (immediate_d),
54410    .branch_offset          (branch_offset_d),
54411    .load                   (load_d),
54412    .store                  (store_d),
54413    .size                   (size_d),
54414    .sign_extend            (sign_extend_d),
54415    .adder_op               (adder_op_d),
54416    .logic_op               (logic_op_d),
54417
54418
54419    .direction              (direction_d),
54420
54421
54422
54423
54424
54425
54426
54427
54428
54429
54430
54431
54432
54433
54434
54435
54436    .branch                 (branch_d),
54437    .bi_unconditional       (bi_unconditional),
54438    .bi_conditional         (bi_conditional),
54439    .branch_reg             (branch_reg_d),
54440    .condition              (condition_d),
54441
54442
54443
54444
54445    .scall                  (scall_d),
54446    .eret                   (eret_d),
54447
54448
54449
54450
54451
54452
54453
54454
54455    .csr_write_enable       (csr_write_enable_d)
54456    );
54457
54458
54459lm32_load_store_unit_medium_icache #(
54460    .associativity          (dcache_associativity),
54461    .sets                   (dcache_sets),
54462    .bytes_per_line         (dcache_bytes_per_line),
54463    .base_address           (dcache_base_address),
54464    .limit                  (dcache_limit)
54465  ) load_store_unit (
54466
54467    .clk_i                  (clk_i),
54468    .rst_i                  (rst_i),
54469
54470    .stall_a                (stall_a),
54471    .stall_x                (stall_x),
54472    .stall_m                (stall_m),
54473    .kill_x                 (kill_x),
54474    .kill_m                 (kill_m),
54475    .exception_m            (exception_m),
54476    .store_operand_x        (store_operand_x),
54477    .load_store_address_x   (adder_result_x),
54478    .load_store_address_m   (operand_m),
54479    .load_store_address_w   (operand_w[1:0]),
54480    .load_x                 (load_x),
54481    .store_x                (store_x),
54482    .load_q_x               (load_q_x),
54483    .store_q_x              (store_q_x),
54484    .load_q_m               (load_q_m),
54485    .store_q_m              (store_q_m),
54486    .sign_extend_x          (sign_extend_x),
54487    .size_x                 (size_x),
54488
54489
54490
54491
54492
54493
54494
54495
54496
54497
54498
54499
54500
54501
54502
54503
54504
54505    .d_dat_i                (D_DAT_I),
54506    .d_ack_i                (D_ACK_I),
54507    .d_err_i                (D_ERR_I),
54508    .d_rty_i                (D_RTY_I),
54509
54510
54511
54512
54513
54514
54515
54516
54517
54518    .load_data_w            (load_data_w),
54519    .stall_wb_load          (stall_wb_load),
54520
54521    .d_dat_o                (D_DAT_O),
54522    .d_adr_o                (D_ADR_O),
54523    .d_cyc_o                (D_CYC_O),
54524    .d_sel_o                (D_SEL_O),
54525    .d_stb_o                (D_STB_O),
54526    .d_we_o                 (D_WE_O),
54527    .d_cti_o                (D_CTI_O),
54528    .d_lock_o               (D_LOCK_O),
54529    .d_bte_o                (D_BTE_O)
54530    );
54531
54532
54533lm32_adder adder (
54534
54535    .adder_op_x             (adder_op_x),
54536    .adder_op_x_n           (adder_op_x_n),
54537    .operand_0_x            (operand_0_x),
54538    .operand_1_x            (operand_1_x),
54539
54540    .adder_result_x         (adder_result_x),
54541    .adder_carry_n_x        (adder_carry_n_x),
54542    .adder_overflow_x       (adder_overflow_x)
54543    );
54544
54545
54546lm32_logic_op logic_op (
54547
54548    .logic_op_x             (logic_op_x),
54549    .operand_0_x            (operand_0_x),
54550
54551    .operand_1_x            (operand_1_x),
54552
54553    .logic_result_x         (logic_result_x)
54554    );
54555
54556
54557
54558
54559lm32_shifter shifter (
54560
54561    .clk_i                  (clk_i),
54562    .rst_i                  (rst_i),
54563    .stall_x                (stall_x),
54564    .direction_x            (direction_x),
54565    .sign_extend_x          (sign_extend_x),
54566    .operand_0_x            (operand_0_x),
54567    .operand_1_x            (operand_1_x),
54568
54569    .shifter_result_m       (shifter_result_m)
54570    );
54571
54572
54573
54574
54575
54576
54577lm32_multiplier multiplier (
54578
54579    .clk_i                  (clk_i),
54580    .rst_i                  (rst_i),
54581    .stall_x                (stall_x),
54582    .stall_m                (stall_m),
54583    .operand_0              (d_result_0),
54584    .operand_1              (d_result_1),
54585
54586    .result                 (multiplier_result_w)
54587    );
54588
54589
54590
54591
54592
54593
54594
54595
54596
54597
54598
54599
54600
54601
54602
54603
54604
54605
54606
54607
54608
54609
54610
54611
54612
54613
54614
54615
54616
54617
54618
54619
54620
54621
54622
54623
54624
54625
54626lm32_interrupt_medium_icache interrupt_unit (
54627
54628    .clk_i                  (clk_i),
54629    .rst_i                  (rst_i),
54630
54631    .interrupt              (interrupt),
54632
54633    .stall_x                (stall_x),
54634
54635
54636
54637
54638
54639    .exception              (exception_q_w),
54640
54641
54642    .eret_q_x               (eret_q_x),
54643
54644
54645
54646
54647    .csr                    (csr_x),
54648    .csr_write_data         (operand_1_x),
54649    .csr_write_enable       (csr_write_enable_q_x),
54650
54651    .interrupt_exception    (interrupt_exception),
54652
54653    .csr_read_data          (interrupt_csr_read_data_x)
54654    );
54655
54656
54657
54658
54659
54660
54661
54662
54663
54664
54665
54666
54667
54668
54669
54670
54671
54672
54673
54674
54675
54676
54677
54678
54679
54680
54681
54682
54683
54684
54685
54686
54687
54688
54689
54690
54691
54692
54693
54694
54695
54696
54697
54698
54699
54700
54701
54702
54703
54704
54705
54706
54707
54708
54709
54710
54711
54712
54713
54714
54715
54716
54717
54718
54719
54720
54721
54722
54723
54724
54725
54726
54727
54728
54729
54730
54731
54732
54733
54734
54735
54736
54737
54738
54739
54740
54741
54742
54743
54744
54745
54746
54747
54748
54749
54750
54751
54752
54753
54754
54755
54756
54757
54758
54759
54760
54761
54762
54763
54764
54765
54766
54767
54768
54769
54770
54771
54772
54773
54774
54775
54776
54777
54778
54779
54780
54781
54782   wire [31:0] regfile_data_0, regfile_data_1;
54783   reg [31:0]  w_result_d;
54784   reg 	       regfile_raw_0, regfile_raw_0_nxt;
54785   reg 	       regfile_raw_1, regfile_raw_1_nxt;
54786
54787
54788
54789
54790
54791   always @(reg_write_enable_q_w or write_idx_w or instruction_f)
54792     begin
54793	if (reg_write_enable_q_w
54794	    && (write_idx_w == instruction_f[25:21]))
54795	  regfile_raw_0_nxt = 1'b1;
54796	else
54797	  regfile_raw_0_nxt = 1'b0;
54798
54799	if (reg_write_enable_q_w
54800	    && (write_idx_w == instruction_f[20:16]))
54801	  regfile_raw_1_nxt = 1'b1;
54802	else
54803	  regfile_raw_1_nxt = 1'b0;
54804     end
54805
54806
54807
54808
54809
54810
54811   always @(regfile_raw_0 or w_result_d or regfile_data_0)
54812     if (regfile_raw_0)
54813       reg_data_live_0 = w_result_d;
54814     else
54815       reg_data_live_0 = regfile_data_0;
54816
54817
54818
54819
54820
54821
54822   always @(regfile_raw_1 or w_result_d or regfile_data_1)
54823     if (regfile_raw_1)
54824       reg_data_live_1 = w_result_d;
54825     else
54826       reg_data_live_1 = regfile_data_1;
54827
54828
54829
54830
54831   always @(posedge clk_i  )
54832     if (rst_i ==  1'b1)
54833       begin
54834	  regfile_raw_0 <= 1'b0;
54835	  regfile_raw_1 <= 1'b0;
54836	  w_result_d <= 32'b0;
54837       end
54838     else
54839       begin
54840	  regfile_raw_0 <= regfile_raw_0_nxt;
54841	  regfile_raw_1 <= regfile_raw_1_nxt;
54842	  w_result_d <= w_result;
54843       end
54844
54845
54846
54847
54848
54849   lm32_dp_ram
54850     #(
54851
54852       .addr_depth(1<<5),
54853       .addr_width(5),
54854       .data_width(32)
54855       )
54856   reg_0
54857     (
54858
54859      .clk_i	(clk_i),
54860      .rst_i	(rst_i),
54861      .we_i	(reg_write_enable_q_w),
54862      .wdata_i	(w_result),
54863      .waddr_i	(write_idx_w),
54864      .raddr_i	(instruction_f[25:21]),
54865
54866      .rdata_o	(regfile_data_0)
54867      );
54868
54869   lm32_dp_ram
54870     #(
54871       .addr_depth(1<<5),
54872       .addr_width(5),
54873       .data_width(32)
54874       )
54875   reg_1
54876     (
54877
54878      .clk_i	(clk_i),
54879      .rst_i	(rst_i),
54880      .we_i	(reg_write_enable_q_w),
54881      .wdata_i	(w_result),
54882      .waddr_i	(write_idx_w),
54883      .raddr_i	(instruction_f[20:16]),
54884
54885      .rdata_o	(regfile_data_1)
54886      );
54887
54888
54889
54890
54891
54892
54893
54894
54895
54896
54897
54898
54899
54900
54901
54902
54903
54904
54905
54906
54907
54908
54909
54910
54911
54912
54913
54914
54915
54916
54917
54918
54919
54920
54921
54922
54923
54924
54925
54926
54927
54928
54929
54930
54931
54932
54933
54934
54935
54936
54937
54938
54939
54940
54941
54942
54943
54944
54945
54946
54947
54948
54949
54950
54951
54952
54953
54954
54955
54956
54957
54958
54959
54960
54961
54962
54963
54964
54965
54966
54967assign reg_data_0 = use_buf ? reg_data_buf_0 : reg_data_live_0;
54968assign reg_data_1 = use_buf ? reg_data_buf_1 : reg_data_live_1;
54969
54970
54971
54972
54973
54974
54975
54976
54977
54978
54979
54980
54981assign raw_x_0 = (write_idx_x == read_idx_0_d) && (write_enable_q_x ==  1'b1);
54982assign raw_m_0 = (write_idx_m == read_idx_0_d) && (write_enable_q_m ==  1'b1);
54983assign raw_w_0 = (write_idx_w == read_idx_0_d) && (write_enable_q_w ==  1'b1);
54984assign raw_x_1 = (write_idx_x == read_idx_1_d) && (write_enable_q_x ==  1'b1);
54985assign raw_m_1 = (write_idx_m == read_idx_1_d) && (write_enable_q_m ==  1'b1);
54986assign raw_w_1 = (write_idx_w == read_idx_1_d) && (write_enable_q_w ==  1'b1);
54987
54988
54989always @(*)
54990begin
54991    if (   (   (x_bypass_enable_x ==  1'b0)
54992            && (   ((read_enable_0_d ==  1'b1) && (raw_x_0 ==  1'b1))
54993                || ((read_enable_1_d ==  1'b1) && (raw_x_1 ==  1'b1))
54994               )
54995           )
54996        || (   (m_bypass_enable_m ==  1'b0)
54997            && (   ((read_enable_0_d ==  1'b1) && (raw_m_0 ==  1'b1))
54998                || ((read_enable_1_d ==  1'b1) && (raw_m_1 ==  1'b1))
54999               )
55000           )
55001       )
55002        interlock =  1'b1;
55003    else
55004        interlock =  1'b0;
55005end
55006
55007
55008always @(*)
55009begin
55010    if (raw_x_0 ==  1'b1)
55011        bypass_data_0 = x_result;
55012    else if (raw_m_0 ==  1'b1)
55013        bypass_data_0 = m_result;
55014    else if (raw_w_0 ==  1'b1)
55015        bypass_data_0 = w_result;
55016    else
55017        bypass_data_0 = reg_data_0;
55018end
55019
55020
55021always @(*)
55022begin
55023    if (raw_x_1 ==  1'b1)
55024        bypass_data_1 = x_result;
55025    else if (raw_m_1 ==  1'b1)
55026        bypass_data_1 = m_result;
55027    else if (raw_w_1 ==  1'b1)
55028        bypass_data_1 = w_result;
55029    else
55030        bypass_data_1 = reg_data_1;
55031end
55032
55033
55034
55035
55036
55037
55038
55039   assign branch_predict_d = bi_unconditional | bi_conditional;
55040   assign branch_predict_taken_d = bi_unconditional ? 1'b1 : (bi_conditional ? instruction_d[15] : 1'b0);
55041
55042
55043   assign branch_target_d = pc_d + branch_offset_d;
55044
55045
55046
55047
55048   assign branch_predict_address_d = branch_predict_taken_d ? branch_target_d : pc_f;
55049
55050
55051always @(*)
55052begin
55053    d_result_0 = d_result_sel_0_d[0] ? {pc_f, 2'b00} : bypass_data_0;
55054    case (d_result_sel_1_d)
55055     2'b00:      d_result_1 = { 32{1'b0}};
55056     2'b01:     d_result_1 = bypass_data_1;
55057     2'b10: d_result_1 = immediate_d;
55058    default:                        d_result_1 = { 32{1'bx}};
55059    endcase
55060end
55061
55062
55063
55064
55065
55066
55067
55068
55069
55070
55071
55072assign sextb_result_x = {{24{operand_0_x[7]}}, operand_0_x[7:0]};
55073assign sexth_result_x = {{16{operand_0_x[15]}}, operand_0_x[15:0]};
55074assign sext_result_x = size_x ==  2'b00 ? sextb_result_x : sexth_result_x;
55075
55076
55077
55078
55079
55080
55081
55082
55083
55084
55085assign cmp_zero = operand_0_x == operand_1_x;
55086assign cmp_negative = adder_result_x[ 32-1];
55087assign cmp_overflow = adder_overflow_x;
55088assign cmp_carry_n = adder_carry_n_x;
55089always @(*)
55090begin
55091    case (condition_x)
55092     3'b000:   condition_met_x =  1'b1;
55093     3'b110:   condition_met_x =  1'b1;
55094     3'b001:    condition_met_x = cmp_zero;
55095     3'b111:   condition_met_x = !cmp_zero;
55096     3'b010:    condition_met_x = !cmp_zero && (cmp_negative == cmp_overflow);
55097     3'b101:   condition_met_x = cmp_carry_n && !cmp_zero;
55098     3'b011:   condition_met_x = cmp_negative == cmp_overflow;
55099     3'b100:  condition_met_x = cmp_carry_n;
55100    default:              condition_met_x = 1'bx;
55101    endcase
55102end
55103
55104
55105always @(*)
55106begin
55107    x_result =   x_result_sel_add_x ? adder_result_x
55108               : x_result_sel_csr_x ? csr_read_data_x
55109
55110
55111               : x_result_sel_sext_x ? sext_result_x
55112
55113
55114
55115
55116
55117
55118
55119
55120
55121
55122
55123
55124
55125
55126               : logic_result_x;
55127end
55128
55129
55130always @(*)
55131begin
55132    m_result =   m_result_sel_compare_m ? {{ 32-1{1'b0}}, condition_met_m}
55133
55134
55135               : m_result_sel_shift_m ? shifter_result_m
55136
55137
55138               : operand_m;
55139end
55140
55141
55142always @(*)
55143begin
55144    w_result =    w_result_sel_load_w ? load_data_w
55145
55146
55147                : w_result_sel_mul_w ? multiplier_result_w
55148
55149
55150                : operand_w;
55151end
55152
55153
55154
55155
55156
55157
55158
55159
55160
55161
55162
55163
55164
55165assign branch_taken_m =      (stall_m ==  1'b0)
55166                          && (   (   (branch_m ==  1'b1)
55167                                  && (valid_m ==  1'b1)
55168                                  && (   (   (condition_met_m ==  1'b1)
55169					  && (branch_predict_taken_m ==  1'b0)
55170					 )
55171				      || (   (condition_met_m ==  1'b0)
55172					  && (branch_predict_m ==  1'b1)
55173					  && (branch_predict_taken_m ==  1'b1)
55174					 )
55175				     )
55176                                 )
55177                              || (exception_m ==  1'b1)
55178                             );
55179
55180
55181assign branch_mispredict_taken_m =    (condition_met_m ==  1'b0)
55182                                   && (branch_predict_m ==  1'b1)
55183	   			   && (branch_predict_taken_m ==  1'b1);
55184
55185
55186assign branch_flushX_m =    (stall_m ==  1'b0)
55187                         && (   (   (branch_m ==  1'b1)
55188                                 && (valid_m ==  1'b1)
55189			         && (   (condition_met_m ==  1'b1)
55190				     || (   (condition_met_m ==  1'b0)
55191					 && (branch_predict_m ==  1'b1)
55192					 && (branch_predict_taken_m ==  1'b1)
55193					)
55194				    )
55195			        )
55196			     || (exception_m ==  1'b1)
55197			    );
55198
55199
55200assign kill_f =    (   (valid_d ==  1'b1)
55201                    && (branch_predict_taken_d ==  1'b1)
55202		   )
55203                || (branch_taken_m ==  1'b1)
55204
55205
55206
55207
55208
55209
55210                || (icache_refill_request ==  1'b1)
55211
55212
55213
55214
55215
55216
55217                ;
55218assign kill_d =    (branch_taken_m ==  1'b1)
55219
55220
55221
55222
55223
55224
55225                || (icache_refill_request ==  1'b1)
55226
55227
55228
55229
55230
55231
55232                ;
55233assign kill_x =    (branch_flushX_m ==  1'b1)
55234
55235
55236
55237
55238                ;
55239assign kill_m =     1'b0
55240
55241
55242
55243
55244                ;
55245assign kill_w =     1'b0
55246
55247
55248
55249
55250                ;
55251
55252
55253
55254
55255
55256
55257
55258
55259
55260
55261
55262
55263
55264
55265
55266
55267
55268
55269
55270
55271
55272
55273
55274
55275
55276
55277
55278
55279
55280
55281
55282
55283
55284
55285assign system_call_exception = (   (scall_x ==  1'b1)
55286
55287
55288
55289
55290			       );
55291
55292
55293
55294
55295
55296
55297
55298
55299
55300
55301
55302
55303
55304
55305
55306
55307
55308
55309
55310
55311
55312
55313
55314
55315
55316
55317
55318
55319
55320
55321
55322
55323
55324assign exception_x =           (system_call_exception ==  1'b1)
55325
55326
55327
55328
55329
55330
55331
55332
55333
55334
55335
55336                            || (   (interrupt_exception ==  1'b1)
55337
55338
55339
55340
55341
55342
55343
55344
55345
55346                               )
55347
55348
55349                            ;
55350
55351
55352
55353
55354
55355
55356
55357
55358
55359
55360
55361
55362
55363
55364
55365always @(*)
55366begin
55367
55368
55369
55370
55371
55372
55373
55374
55375
55376
55377
55378
55379
55380
55381
55382
55383
55384
55385
55386
55387
55388
55389
55390
55391
55392
55393
55394
55395
55396
55397
55398
55399
55400
55401
55402
55403
55404
55405
55406         if (   (interrupt_exception ==  1'b1)
55407
55408
55409
55410
55411            )
55412        eid_x =  3'h6;
55413    else
55414
55415
55416        eid_x =  3'h7;
55417end
55418
55419
55420
55421assign stall_a = (stall_f ==  1'b1);
55422
55423assign stall_f = (stall_d ==  1'b1);
55424
55425assign stall_d =   (stall_x ==  1'b1)
55426                || (   (interlock ==  1'b1)
55427                    && (kill_d ==  1'b0)
55428                   )
55429		|| (   (   (eret_d ==  1'b1)
55430			|| (scall_d ==  1'b1)
55431
55432
55433
55434
55435		       )
55436		    && (   (load_q_x ==  1'b1)
55437			|| (load_q_m ==  1'b1)
55438			|| (store_q_x ==  1'b1)
55439			|| (store_q_m ==  1'b1)
55440			|| (D_CYC_O ==  1'b1)
55441		       )
55442                    && (kill_d ==  1'b0)
55443		   )
55444
55445
55446
55447
55448
55449
55450
55451
55452
55453
55454
55455
55456
55457
55458                || (   (csr_write_enable_d ==  1'b1)
55459                    && (load_q_x ==  1'b1)
55460                   )
55461
55462
55463
55464
55465
55466
55467
55468
55469
55470
55471                ;
55472
55473assign stall_x =    (stall_m ==  1'b1)
55474
55475
55476
55477
55478
55479
55480
55481
55482                 ;
55483
55484assign stall_m =    (stall_wb_load ==  1'b1)
55485
55486
55487
55488
55489                 || (   (D_CYC_O ==  1'b1)
55490                     && (   (store_m ==  1'b1)
55491
55492
55493
55494
55495
55496
55497
55498
55499
55500
55501
55502
55503
55504
55505
55506		         || ((store_x ==  1'b1) && (interrupt_exception ==  1'b1))
55507
55508
55509                         || (load_m ==  1'b1)
55510                         || (load_x ==  1'b1)
55511                        )
55512                    )
55513
55514
55515
55516
55517
55518
55519
55520
55521                 || (icache_stall_request ==  1'b1)
55522                 || ((I_CYC_O ==  1'b1) && ((branch_m ==  1'b1) || (exception_m ==  1'b1)))
55523
55524
55525
55526
55527
55528
55529
55530
55531
55532
55533
55534
55535
55536
55537
55538
55539                 ;
55540
55541
55542
55543
55544
55545
55546
55547
55548
55549
55550
55551
55552
55553
55554
55555
55556
55557
55558
55559
55560
55561
55562assign q_x = (valid_x ==  1'b1) && (kill_x ==  1'b0);
55563assign csr_write_enable_q_x = (csr_write_enable_x ==  1'b1) && (q_x ==  1'b1);
55564assign eret_q_x = (eret_x ==  1'b1) && (q_x ==  1'b1);
55565
55566
55567
55568
55569assign load_q_x = (load_x ==  1'b1)
55570               && (q_x ==  1'b1)
55571
55572
55573
55574
55575                  ;
55576assign store_q_x = (store_x ==  1'b1)
55577               && (q_x ==  1'b1)
55578
55579
55580
55581
55582                  ;
55583
55584
55585
55586
55587assign q_m = (valid_m ==  1'b1) && (kill_m ==  1'b0) && (exception_m ==  1'b0);
55588assign load_q_m = (load_m ==  1'b1) && (q_m ==  1'b1);
55589assign store_q_m = (store_m ==  1'b1) && (q_m ==  1'b1);
55590
55591
55592
55593
55594
55595assign exception_q_w = ((exception_w ==  1'b1) && (valid_w ==  1'b1));
55596
55597
55598
55599assign write_enable_q_x = (write_enable_x ==  1'b1) && (valid_x ==  1'b1) && (branch_flushX_m ==  1'b0);
55600assign write_enable_q_m = (write_enable_m ==  1'b1) && (valid_m ==  1'b1);
55601assign write_enable_q_w = (write_enable_w ==  1'b1) && (valid_w ==  1'b1);
55602
55603assign reg_write_enable_q_w = (write_enable_w ==  1'b1) && (kill_w ==  1'b0) && (valid_w ==  1'b1);
55604
55605
55606assign cfg = {
55607               6'h02,
55608              watchpoints[3:0],
55609              breakpoints[3:0],
55610              interrupts[5:0],
55611
55612
55613
55614
55615               1'b0,
55616
55617
55618
55619
55620
55621
55622               1'b0,
55623
55624
55625
55626
55627
55628
55629               1'b0,
55630
55631
55632
55633
55634
55635
55636               1'b0,
55637
55638
55639
55640
55641               1'b1,
55642
55643
55644
55645
55646
55647
55648
55649
55650               1'b0,
55651
55652
55653
55654
55655
55656
55657               1'b0,
55658
55659
55660
55661
55662
55663
55664               1'b0,
55665
55666
55667
55668
55669               1'b1,
55670
55671
55672
55673
55674
55675
55676               1'b1,
55677
55678
55679
55680
55681
55682
55683
55684
55685               1'b0,
55686
55687
55688
55689
55690               1'b1
55691
55692
55693
55694
55695              };
55696
55697assign cfg2 = {
55698		     30'b0,
55699
55700
55701
55702
55703		      1'b0,
55704
55705
55706
55707
55708
55709
55710		      1'b0
55711
55712
55713		     };
55714
55715
55716
55717
55718assign iflush = (   (csr_write_enable_d ==  1'b1)
55719                 && (csr_d ==  4 'h3)
55720                 && (stall_d ==  1'b0)
55721                 && (kill_d ==  1'b0)
55722                 && (valid_d ==  1'b1))
55723
55724
55725
55726
55727
55728
55729
55730		 ;
55731
55732
55733
55734
55735
55736
55737
55738
55739
55740
55741
55742
55743
55744
55745
55746
55747assign csr_d = read_idx_0_d[ (4 -1):0];
55748
55749
55750always @(*)
55751begin
55752    case (csr_x)
55753
55754
55755     4 'h0,
55756     4 'h1,
55757     4 'h2:   csr_read_data_x = interrupt_csr_read_data_x;
55758
55759
55760
55761
55762
55763
55764     4 'h6:  csr_read_data_x = cfg;
55765     4 'h7:  csr_read_data_x = {eba, 8'h00};
55766
55767
55768
55769
55770
55771
55772
55773
55774
55775     4 'ha: csr_read_data_x = cfg2;
55776     4 'hb:  csr_read_data_x = sdb_address;
55777
55778
55779
55780
55781
55782
55783    default:        csr_read_data_x = { 32{1'bx}};
55784    endcase
55785end
55786
55787
55788
55789
55790
55791
55792always @(posedge clk_i  )
55793begin
55794    if (rst_i ==  1'b1)
55795        eba <= eba_reset[ (clogb2(32'h7fffffff-32'h0)-2)+2-1:8];
55796    else
55797    begin
55798        if ((csr_write_enable_q_x ==  1'b1) && (csr_x ==  4 'h7) && (stall_x ==  1'b0))
55799            eba <= operand_1_x[ (clogb2(32'h7fffffff-32'h0)-2)+2-1:8];
55800
55801
55802
55803
55804
55805
55806
55807
55808
55809
55810
55811    end
55812end
55813
55814
55815
55816
55817
55818
55819
55820
55821
55822
55823
55824
55825
55826
55827
55828
55829
55830
55831
55832
55833
55834
55835
55836
55837
55838
55839
55840
55841
55842
55843
55844
55845
55846
55847
55848
55849
55850
55851
55852
55853
55854
55855
55856
55857
55858
55859
55860
55861
55862
55863
55864
55865
55866
55867
55868
55869
55870
55871
55872
55873
55874
55875
55876
55877
55878
55879
55880
55881
55882
55883
55884
55885
55886
55887
55888
55889
55890
55891
55892always @(*)
55893begin
55894    if (icache_refill_request ==  1'b1)
55895        valid_a =  1'b0;
55896    else if (icache_restart_request ==  1'b1)
55897        valid_a =  1'b1;
55898    else
55899        valid_a = !icache_refilling;
55900end
55901
55902
55903
55904
55905
55906
55907
55908
55909
55910
55911
55912
55913
55914
55915
55916
55917
55918always @(posedge clk_i  )
55919begin
55920    if (rst_i ==  1'b1)
55921    begin
55922        valid_f <=  1'b0;
55923        valid_d <=  1'b0;
55924        valid_x <=  1'b0;
55925        valid_m <=  1'b0;
55926        valid_w <=  1'b0;
55927    end
55928    else
55929    begin
55930        if ((kill_f ==  1'b1) || (stall_a ==  1'b0))
55931
55932
55933            valid_f <= valid_a;
55934
55935
55936
55937
55938        else if (stall_f ==  1'b0)
55939            valid_f <=  1'b0;
55940
55941        if (kill_d ==  1'b1)
55942            valid_d <=  1'b0;
55943        else if (stall_f ==  1'b0)
55944            valid_d <= valid_f & !kill_f;
55945        else if (stall_d ==  1'b0)
55946            valid_d <=  1'b0;
55947
55948        if (stall_d ==  1'b0)
55949            valid_x <= valid_d & !kill_d;
55950        else if (kill_x ==  1'b1)
55951            valid_x <=  1'b0;
55952        else if (stall_x ==  1'b0)
55953            valid_x <=  1'b0;
55954
55955        if (kill_m ==  1'b1)
55956            valid_m <=  1'b0;
55957        else if (stall_x ==  1'b0)
55958            valid_m <= valid_x & !kill_x;
55959        else if (stall_m ==  1'b0)
55960            valid_m <=  1'b0;
55961
55962        if (stall_m ==  1'b0)
55963            valid_w <= valid_m & !kill_m;
55964        else
55965            valid_w <=  1'b0;
55966    end
55967end
55968
55969
55970always @(posedge clk_i  )
55971begin
55972    if (rst_i ==  1'b1)
55973    begin
55974
55975
55976
55977
55978        operand_0_x <= { 32{1'b0}};
55979        operand_1_x <= { 32{1'b0}};
55980        store_operand_x <= { 32{1'b0}};
55981        branch_target_x <= { (clogb2(32'h7fffffff-32'h0)-2){1'b0}};
55982        x_result_sel_csr_x <=  1'b0;
55983
55984
55985
55986
55987
55988
55989
55990
55991
55992
55993        x_result_sel_sext_x <=  1'b0;
55994
55995
55996
55997
55998
55999
56000        x_result_sel_add_x <=  1'b0;
56001        m_result_sel_compare_x <=  1'b0;
56002
56003
56004        m_result_sel_shift_x <=  1'b0;
56005
56006
56007        w_result_sel_load_x <=  1'b0;
56008
56009
56010        w_result_sel_mul_x <=  1'b0;
56011
56012
56013        x_bypass_enable_x <=  1'b0;
56014        m_bypass_enable_x <=  1'b0;
56015        write_enable_x <=  1'b0;
56016        write_idx_x <= { 5{1'b0}};
56017        csr_x <= { 4 {1'b0}};
56018        load_x <=  1'b0;
56019        store_x <=  1'b0;
56020        size_x <= { 2{1'b0}};
56021        sign_extend_x <=  1'b0;
56022        adder_op_x <=  1'b0;
56023        adder_op_x_n <=  1'b0;
56024        logic_op_x <= 4'h0;
56025
56026
56027        direction_x <=  1'b0;
56028
56029
56030
56031
56032
56033
56034
56035        branch_x <=  1'b0;
56036        branch_predict_x <=  1'b0;
56037        branch_predict_taken_x <=  1'b0;
56038        condition_x <=  3'b000;
56039
56040
56041
56042
56043        scall_x <=  1'b0;
56044        eret_x <=  1'b0;
56045
56046
56047
56048
56049
56050
56051
56052
56053
56054        csr_write_enable_x <=  1'b0;
56055        operand_m <= { 32{1'b0}};
56056        branch_target_m <= { (clogb2(32'h7fffffff-32'h0)-2){1'b0}};
56057        m_result_sel_compare_m <=  1'b0;
56058
56059
56060        m_result_sel_shift_m <=  1'b0;
56061
56062
56063        w_result_sel_load_m <=  1'b0;
56064
56065
56066        w_result_sel_mul_m <=  1'b0;
56067
56068
56069        m_bypass_enable_m <=  1'b0;
56070        branch_m <=  1'b0;
56071        branch_predict_m <=  1'b0;
56072	branch_predict_taken_m <=  1'b0;
56073        exception_m <=  1'b0;
56074        load_m <=  1'b0;
56075        store_m <=  1'b0;
56076        write_enable_m <=  1'b0;
56077        write_idx_m <= { 5{1'b0}};
56078        condition_met_m <=  1'b0;
56079
56080
56081
56082
56083
56084
56085
56086
56087
56088        operand_w <= { 32{1'b0}};
56089        w_result_sel_load_w <=  1'b0;
56090
56091
56092        w_result_sel_mul_w <=  1'b0;
56093
56094
56095        write_idx_w <= { 5{1'b0}};
56096        write_enable_w <=  1'b0;
56097
56098
56099
56100
56101
56102        exception_w <=  1'b0;
56103
56104
56105
56106
56107
56108
56109    end
56110    else
56111    begin
56112
56113
56114        if (stall_x ==  1'b0)
56115        begin
56116
56117
56118
56119
56120            operand_0_x <= d_result_0;
56121            operand_1_x <= d_result_1;
56122            store_operand_x <= bypass_data_1;
56123            branch_target_x <= branch_reg_d ==  1'b1 ? bypass_data_0[ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] : branch_target_d;
56124            x_result_sel_csr_x <= x_result_sel_csr_d;
56125
56126
56127
56128
56129
56130
56131
56132
56133
56134
56135            x_result_sel_sext_x <= x_result_sel_sext_d;
56136
56137
56138
56139
56140
56141
56142            x_result_sel_add_x <= x_result_sel_add_d;
56143            m_result_sel_compare_x <= m_result_sel_compare_d;
56144
56145
56146            m_result_sel_shift_x <= m_result_sel_shift_d;
56147
56148
56149            w_result_sel_load_x <= w_result_sel_load_d;
56150
56151
56152            w_result_sel_mul_x <= w_result_sel_mul_d;
56153
56154
56155            x_bypass_enable_x <= x_bypass_enable_d;
56156            m_bypass_enable_x <= m_bypass_enable_d;
56157            load_x <= load_d;
56158            store_x <= store_d;
56159            branch_x <= branch_d;
56160	    branch_predict_x <= branch_predict_d;
56161	    branch_predict_taken_x <= branch_predict_taken_d;
56162	    write_idx_x <= write_idx_d;
56163            csr_x <= csr_d;
56164            size_x <= size_d;
56165            sign_extend_x <= sign_extend_d;
56166            adder_op_x <= adder_op_d;
56167            adder_op_x_n <= ~adder_op_d;
56168            logic_op_x <= logic_op_d;
56169
56170
56171            direction_x <= direction_d;
56172
56173
56174
56175
56176
56177
56178            condition_x <= condition_d;
56179            csr_write_enable_x <= csr_write_enable_d;
56180
56181
56182
56183
56184            scall_x <= scall_d;
56185
56186
56187
56188
56189            eret_x <= eret_d;
56190
56191
56192
56193
56194            write_enable_x <= write_enable_d;
56195        end
56196
56197
56198
56199        if (stall_m ==  1'b0)
56200        begin
56201            operand_m <= x_result;
56202            m_result_sel_compare_m <= m_result_sel_compare_x;
56203
56204
56205            m_result_sel_shift_m <= m_result_sel_shift_x;
56206
56207
56208            if (exception_x ==  1'b1)
56209            begin
56210                w_result_sel_load_m <=  1'b0;
56211
56212
56213                w_result_sel_mul_m <=  1'b0;
56214
56215
56216            end
56217            else
56218            begin
56219                w_result_sel_load_m <= w_result_sel_load_x;
56220
56221
56222                w_result_sel_mul_m <= w_result_sel_mul_x;
56223
56224
56225            end
56226            m_bypass_enable_m <= m_bypass_enable_x;
56227            load_m <= load_x;
56228            store_m <= store_x;
56229
56230
56231
56232
56233            branch_m <= branch_x;
56234	    branch_predict_m <= branch_predict_x;
56235	    branch_predict_taken_m <= branch_predict_taken_x;
56236
56237
56238
56239
56240
56241
56242
56243
56244
56245
56246
56247
56248
56249
56250
56251
56252            if (exception_x ==  1'b1)
56253                write_idx_m <=  5'd30;
56254            else
56255                write_idx_m <= write_idx_x;
56256
56257
56258            condition_met_m <= condition_met_x;
56259
56260
56261
56262
56263
56264
56265
56266
56267
56268
56269
56270
56271            branch_target_m <= exception_x ==  1'b1 ? {eba, eid_x, {3{1'b0}}} : branch_target_x;
56272
56273
56274
56275
56276
56277
56278
56279
56280
56281
56282
56283
56284
56285
56286
56287
56288
56289            write_enable_m <= exception_x ==  1'b1 ?  1'b1 : write_enable_x;
56290
56291
56292
56293
56294
56295        end
56296
56297
56298        if (stall_m ==  1'b0)
56299        begin
56300            if ((exception_x ==  1'b1) && (q_x ==  1'b1) && (stall_x ==  1'b0))
56301                exception_m <=  1'b1;
56302            else
56303                exception_m <=  1'b0;
56304
56305
56306
56307
56308
56309
56310
56311
56312	end
56313
56314
56315
56316
56317
56318
56319        operand_w <= exception_m ==  1'b1 ? {pc_m, 2'b00} : m_result;
56320
56321
56322        w_result_sel_load_w <= w_result_sel_load_m;
56323
56324
56325        w_result_sel_mul_w <= w_result_sel_mul_m;
56326
56327
56328        write_idx_w <= write_idx_m;
56329
56330
56331
56332
56333
56334
56335
56336
56337        write_enable_w <= write_enable_m;
56338
56339
56340
56341
56342
56343        exception_w <= exception_m;
56344
56345
56346
56347
56348
56349
56350
56351
56352
56353
56354
56355    end
56356end
56357
56358
56359
56360
56361
56362always @(posedge clk_i  )
56363begin
56364    if (rst_i ==  1'b1)
56365    begin
56366        use_buf <=  1'b0;
56367        reg_data_buf_0 <= { 32{1'b0}};
56368        reg_data_buf_1 <= { 32{1'b0}};
56369    end
56370    else
56371    begin
56372        if (stall_d ==  1'b0)
56373            use_buf <=  1'b0;
56374        else if (use_buf ==  1'b0)
56375        begin
56376            reg_data_buf_0 <= reg_data_live_0;
56377            reg_data_buf_1 <= reg_data_live_1;
56378            use_buf <=  1'b1;
56379        end
56380        if (reg_write_enable_q_w ==  1'b1)
56381        begin
56382            if (write_idx_w == read_idx_0_d)
56383                reg_data_buf_0 <= w_result;
56384            if (write_idx_w == read_idx_1_d)
56385                reg_data_buf_1 <= w_result;
56386        end
56387    end
56388end
56389
56390
56391
56392
56393
56394
56395
56396
56397
56398
56399
56400
56401
56402
56403
56404
56405
56406
56407
56408
56409
56410
56411
56412
56413
56414
56415
56416
56417
56418
56419
56420
56421
56422
56423
56424
56425
56426
56427
56428
56429
56430
56431
56432
56433
56434
56435
56436
56437
56438
56439
56440
56441
56442
56443
56444
56445
56446
56447
56448
56449
56450
56451
56452
56453
56454
56455
56456
56457
56458
56459
56460
56461
56462
56463
56464
56465
56466
56467
56468
56469
56470
56471
56472
56473
56474
56475
56476
56477
56478
56479
56480
56481
56482
56483
56484
56485
56486
56487
56488
56489
56490
56491
56492
56493
56494
56495
56496
56497
56498
56499
56500
56501
56502
56503
56504
56505
56506
56507
56508
56509endmodule
56510
56511
56512
56513
56514
56515
56516
56517
56518
56519
56520
56521
56522
56523
56524
56525
56526
56527
56528
56529
56530
56531
56532
56533
56534
56535
56536
56537
56538
56539
56540
56541
56542
56543
56544
56545
56546
56547
56548
56549
56550
56551
56552
56553
56554
56555
56556
56557
56558
56559
56560
56561
56562
56563
56564
56565
56566
56567
56568
56569
56570
56571
56572
56573
56574
56575
56576
56577
56578
56579
56580
56581
56582
56583
56584
56585
56586
56587
56588
56589
56590
56591
56592
56593
56594
56595
56596
56597
56598
56599
56600
56601
56602
56603
56604
56605
56606
56607
56608
56609
56610
56611
56612
56613
56614
56615
56616
56617
56618
56619
56620
56621
56622
56623
56624
56625
56626
56627
56628
56629
56630
56631
56632
56633
56634
56635
56636
56637
56638
56639
56640
56641
56642
56643
56644
56645
56646
56647
56648
56649
56650
56651
56652
56653
56654
56655
56656
56657
56658
56659
56660
56661
56662
56663
56664
56665
56666
56667
56668
56669
56670
56671
56672
56673
56674
56675
56676
56677
56678
56679
56680
56681
56682
56683
56684
56685
56686
56687
56688
56689
56690
56691
56692
56693
56694
56695
56696
56697
56698
56699
56700
56701
56702
56703
56704
56705
56706
56707
56708
56709
56710
56711
56712
56713
56714
56715
56716
56717
56718
56719
56720
56721
56722
56723
56724
56725
56726
56727
56728
56729
56730
56731
56732
56733
56734
56735
56736
56737
56738
56739
56740
56741
56742
56743
56744
56745
56746
56747
56748
56749
56750
56751
56752
56753
56754
56755
56756
56757
56758
56759
56760
56761
56762
56763
56764
56765
56766
56767
56768
56769
56770
56771
56772
56773
56774
56775
56776
56777
56778
56779
56780
56781
56782
56783
56784
56785
56786
56787
56788
56789
56790
56791
56792
56793
56794
56795
56796
56797
56798
56799
56800
56801
56802
56803
56804
56805
56806
56807
56808
56809
56810
56811
56812
56813
56814
56815
56816
56817
56818
56819
56820
56821
56822
56823
56824
56825
56826
56827
56828
56829
56830
56831
56832
56833
56834
56835
56836
56837
56838
56839
56840
56841
56842
56843
56844
56845
56846
56847
56848
56849
56850
56851
56852
56853
56854
56855
56856
56857
56858
56859
56860
56861
56862
56863
56864
56865
56866
56867
56868
56869
56870
56871
56872
56873
56874
56875
56876
56877
56878
56879
56880
56881
56882
56883module lm32_load_store_unit_medium_icache
56884(
56885
56886    clk_i,
56887    rst_i,
56888
56889    stall_a,
56890    stall_x,
56891    stall_m,
56892    kill_x,
56893    kill_m,
56894    exception_m,
56895    store_operand_x,
56896    load_store_address_x,
56897    load_store_address_m,
56898    load_store_address_w,
56899    load_x,
56900    store_x,
56901    load_q_x,
56902    store_q_x,
56903    load_q_m,
56904    store_q_m,
56905    sign_extend_x,
56906    size_x,
56907
56908
56909
56910
56911
56912    d_dat_i,
56913    d_ack_i,
56914    d_err_i,
56915    d_rty_i,
56916
56917
56918
56919
56920
56921
56922
56923
56924
56925
56926
56927
56928
56929
56930
56931
56932
56933
56934
56935    load_data_w,
56936    stall_wb_load,
56937
56938    d_dat_o,
56939    d_adr_o,
56940    d_cyc_o,
56941    d_sel_o,
56942    d_stb_o,
56943    d_we_o,
56944    d_cti_o,
56945    d_lock_o,
56946    d_bte_o
56947    );
56948
56949
56950
56951
56952
56953parameter associativity = 1;
56954parameter sets = 512;
56955parameter bytes_per_line = 16;
56956parameter base_address = 0;
56957parameter limit = 0;
56958
56959
56960localparam addr_offset_width = bytes_per_line == 4 ? 1 : clogb2(bytes_per_line)-1-2;
56961localparam addr_offset_lsb = 2;
56962localparam addr_offset_msb = (addr_offset_lsb+addr_offset_width-1);
56963
56964
56965
56966
56967
56968   input clk_i;
56969
56970input rst_i;
56971
56972input stall_a;
56973input stall_x;
56974input stall_m;
56975input kill_x;
56976input kill_m;
56977input exception_m;
56978
56979input [ (32-1):0] store_operand_x;
56980input [ (32-1):0] load_store_address_x;
56981input [ (32-1):0] load_store_address_m;
56982input [1:0] load_store_address_w;
56983input load_x;
56984input store_x;
56985input load_q_x;
56986input store_q_x;
56987input load_q_m;
56988input store_q_m;
56989input sign_extend_x;
56990input [ 1:0] size_x;
56991
56992
56993
56994
56995
56996
56997
56998
56999
57000
57001
57002
57003
57004
57005
57006
57007
57008   reg 		 [31:0] iram_dat_d0;
57009   reg 		 iram_en_d0;
57010   wire 	 iram_en;
57011   wire [31:0] 	 iram_data;
57012
57013
57014
57015input [ (32-1):0] d_dat_i;
57016input d_ack_i;
57017input d_err_i;
57018input d_rty_i;
57019
57020
57021
57022
57023
57024
57025
57026
57027
57028
57029
57030
57031
57032
57033
57034
57035
57036
57037output [ (32-1):0] load_data_w;
57038reg    [ (32-1):0] load_data_w;
57039output stall_wb_load;
57040reg    stall_wb_load;
57041
57042output [ (32-1):0] d_dat_o;
57043reg    [ (32-1):0] d_dat_o;
57044output [ (32-1):0] d_adr_o;
57045reg    [ (32-1):0] d_adr_o;
57046output d_cyc_o;
57047reg    d_cyc_o;
57048output [ (4-1):0] d_sel_o;
57049reg    [ (4-1):0] d_sel_o;
57050output d_stb_o;
57051reg    d_stb_o;
57052output d_we_o;
57053reg    d_we_o;
57054output [ (3-1):0] d_cti_o;
57055reg    [ (3-1):0] d_cti_o;
57056output d_lock_o;
57057reg    d_lock_o;
57058output [ (2-1):0] d_bte_o;
57059wire   [ (2-1):0] d_bte_o;
57060
57061
57062
57063
57064
57065
57066reg [ 1:0] size_m;
57067reg [ 1:0] size_w;
57068reg sign_extend_m;
57069reg sign_extend_w;
57070reg [ (32-1):0] store_data_x;
57071reg [ (32-1):0] store_data_m;
57072reg [ (4-1):0] byte_enable_x;
57073reg [ (4-1):0] byte_enable_m;
57074wire [ (32-1):0] data_m;
57075reg [ (32-1):0] data_w;
57076
57077
57078
57079
57080
57081
57082
57083
57084
57085
57086
57087
57088
57089
57090
57091
57092
57093
57094
57095
57096
57097
57098
57099
57100
57101wire wb_select_x;
57102
57103
57104
57105
57106
57107
57108
57109
57110
57111
57112reg wb_select_m;
57113reg [ (32-1):0] wb_data_m;
57114reg wb_load_complete;
57115
57116
57117
57118
57119
57120
57121
57122
57123
57124
57125
57126
57127
57128
57129
57130
57131
57132
57133
57134
57135
57136
57137
57138
57139
57140
57141
57142
57143
57144
57145
57146
57147
57148
57149
57150function integer clogb2;
57151input [31:0] value;
57152begin
57153   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
57154        value = value >> 1;
57155end
57156endfunction
57157
57158function integer clogb2_v1;
57159input [31:0] value;
57160reg   [31:0] i;
57161reg   [31:0] temp;
57162begin
57163   temp = 0;
57164   i    = 0;
57165   for (i = 0; temp < value; i = i + 1)
57166	temp = 1<<i;
57167   clogb2_v1 = i-1;
57168end
57169endfunction
57170
57171
57172
57173
57174
57175
57176
57177
57178
57179
57180
57181
57182
57183
57184
57185
57186
57187
57188
57189
57190
57191
57192
57193
57194
57195
57196
57197
57198
57199
57200
57201
57202
57203
57204
57205
57206
57207
57208
57209
57210
57211
57212
57213
57214
57215
57216
57217
57218
57219
57220
57221
57222
57223
57224
57225
57226
57227
57228
57229
57230
57231
57232
57233
57234
57235
57236
57237
57238
57239
57240
57241
57242
57243
57244
57245
57246
57247
57248
57249
57250
57251
57252
57253
57254
57255
57256
57257
57258
57259
57260
57261
57262
57263
57264   assign wb_select_x =     1'b1
57265
57266
57267
57268
57269
57270
57271
57272
57273
57274
57275
57276
57277                     ;
57278
57279
57280always @(*)
57281begin
57282    case (size_x)
57283     2'b00:  store_data_x = {4{store_operand_x[7:0]}};
57284     2'b11: store_data_x = {2{store_operand_x[15:0]}};
57285     2'b10:  store_data_x = store_operand_x;
57286    default:          store_data_x = { 32{1'bx}};
57287    endcase
57288end
57289
57290
57291always @(*)
57292begin
57293    casez ({size_x, load_store_address_x[1:0]})
57294    { 2'b00, 2'b11}:  byte_enable_x = 4'b0001;
57295    { 2'b00, 2'b10}:  byte_enable_x = 4'b0010;
57296    { 2'b00, 2'b01}:  byte_enable_x = 4'b0100;
57297    { 2'b00, 2'b00}:  byte_enable_x = 4'b1000;
57298    { 2'b11, 2'b1?}: byte_enable_x = 4'b0011;
57299    { 2'b11, 2'b0?}: byte_enable_x = 4'b1100;
57300    { 2'b10, 2'b??}:  byte_enable_x = 4'b1111;
57301    default:                   byte_enable_x = 4'bxxxx;
57302    endcase
57303end
57304
57305
57306
57307
57308
57309
57310
57311
57312
57313
57314
57315
57316
57317
57318
57319
57320
57321
57322
57323
57324
57325
57326
57327
57328
57329
57330
57331
57332
57333
57334
57335
57336
57337
57338
57339
57340
57341
57342
57343
57344
57345
57346
57347
57348
57349
57350
57351
57352
57353
57354
57355
57356
57357
57358
57359
57360
57361
57362
57363
57364
57365
57366
57367
57368
57369
57370
57371
57372
57373
57374
57375
57376
57377
57378
57379   assign data_m = wb_data_m;
57380
57381
57382
57383
57384
57385
57386
57387
57388always @(*)
57389begin
57390    casez ({size_w, load_store_address_w[1:0]})
57391    { 2'b00, 2'b11}:  load_data_w = {{24{sign_extend_w & data_w[7]}}, data_w[7:0]};
57392    { 2'b00, 2'b10}:  load_data_w = {{24{sign_extend_w & data_w[15]}}, data_w[15:8]};
57393    { 2'b00, 2'b01}:  load_data_w = {{24{sign_extend_w & data_w[23]}}, data_w[23:16]};
57394    { 2'b00, 2'b00}:  load_data_w = {{24{sign_extend_w & data_w[31]}}, data_w[31:24]};
57395    { 2'b11, 2'b1?}: load_data_w = {{16{sign_extend_w & data_w[15]}}, data_w[15:0]};
57396    { 2'b11, 2'b0?}: load_data_w = {{16{sign_extend_w & data_w[31]}}, data_w[31:16]};
57397    { 2'b10, 2'b??}:  load_data_w = data_w;
57398    default:                   load_data_w = { 32{1'bx}};
57399    endcase
57400end
57401
57402
57403assign d_bte_o =  2'b00;
57404
57405
57406
57407
57408
57409
57410
57411
57412
57413
57414
57415
57416
57417
57418
57419
57420
57421
57422
57423
57424
57425
57426
57427
57428
57429
57430
57431
57432
57433
57434
57435
57436
57437
57438
57439
57440always @(posedge clk_i  )
57441begin
57442    if (rst_i ==  1'b1)
57443    begin
57444        d_cyc_o <=  1'b0;
57445        d_stb_o <=  1'b0;
57446        d_dat_o <= { 32{1'b0}};
57447        d_adr_o <= { 32{1'b0}};
57448        d_sel_o <= { 4{ 1'b0}};
57449        d_we_o <=  1'b0;
57450        d_cti_o <=  3'b111;
57451        d_lock_o <=  1'b0;
57452        wb_data_m <= { 32{1'b0}};
57453        wb_load_complete <=  1'b0;
57454        stall_wb_load <=  1'b0;
57455
57456
57457
57458
57459    end
57460    else
57461    begin
57462
57463
57464
57465
57466
57467
57468        if (d_cyc_o ==  1'b1)
57469        begin
57470
57471            if ((d_ack_i ==  1'b1) || (d_err_i ==  1'b1))
57472            begin
57473
57474
57475
57476
57477
57478
57479
57480
57481
57482                begin
57483
57484                    d_cyc_o <=  1'b0;
57485                    d_stb_o <=  1'b0;
57486                    d_lock_o <=  1'b0;
57487                end
57488
57489
57490
57491
57492
57493
57494
57495                wb_data_m <= d_dat_i;
57496
57497                wb_load_complete <= !d_we_o;
57498            end
57499
57500        end
57501        else
57502        begin
57503
57504
57505
57506
57507
57508
57509
57510
57511
57512
57513
57514
57515
57516
57517
57518                 if (   (store_q_m ==  1'b1)
57519                     && (stall_m ==  1'b0)
57520
57521
57522
57523
57524
57525
57526
57527
57528                    )
57529            begin
57530
57531                d_dat_o <= store_data_m;
57532                d_adr_o <= load_store_address_m;
57533                d_cyc_o <=  1'b1;
57534                d_sel_o <= byte_enable_m;
57535                d_stb_o <=  1'b1;
57536                d_we_o <=  1'b1;
57537                d_cti_o <=  3'b111;
57538            end
57539            else if (   (load_q_m ==  1'b1)
57540                     && (wb_select_m ==  1'b1)
57541                     && (wb_load_complete ==  1'b0)
57542
57543                    )
57544            begin
57545
57546                stall_wb_load <=  1'b0;
57547                d_adr_o <= load_store_address_m;
57548                d_cyc_o <=  1'b1;
57549                d_sel_o <= byte_enable_m;
57550                d_stb_o <=  1'b1;
57551                d_we_o <=  1'b0;
57552                d_cti_o <=  3'b111;
57553            end
57554        end
57555
57556        if (stall_m ==  1'b0)
57557            wb_load_complete <=  1'b0;
57558
57559        if ((load_q_x ==  1'b1) && (wb_select_x ==  1'b1) && (stall_x ==  1'b0))
57560            stall_wb_load <=  1'b1;
57561
57562        if ((kill_m ==  1'b1) || (exception_m ==  1'b1))
57563            stall_wb_load <=  1'b0;
57564    end
57565end
57566
57567
57568
57569
57570always @(posedge clk_i  )
57571begin
57572    if (rst_i ==  1'b1)
57573    begin
57574        sign_extend_m <=  1'b0;
57575        size_m <= 2'b00;
57576        byte_enable_m <=  1'b0;
57577        store_data_m <= { 32{1'b0}};
57578
57579
57580
57581
57582
57583
57584
57585
57586
57587
57588
57589
57590
57591        wb_select_m <=  1'b0;
57592    end
57593    else
57594    begin
57595        if (stall_m ==  1'b0)
57596        begin
57597            sign_extend_m <= sign_extend_x;
57598            size_m <= size_x;
57599            byte_enable_m <= byte_enable_x;
57600            store_data_m <= store_data_x;
57601
57602
57603
57604
57605
57606
57607
57608
57609
57610
57611
57612
57613
57614            wb_select_m <= wb_select_x;
57615        end
57616    end
57617end
57618
57619
57620always @(posedge clk_i  )
57621begin
57622    if (rst_i ==  1'b1)
57623    begin
57624        size_w <= 2'b00;
57625        data_w <= { 32{1'b0}};
57626        sign_extend_w <=  1'b0;
57627    end
57628    else
57629    begin
57630        size_w <= size_m;
57631
57632
57633
57634
57635
57636        data_w <= data_m;
57637
57638        sign_extend_w <= sign_extend_m;
57639    end
57640end
57641
57642
57643
57644
57645
57646
57647
57648endmodule
57649
57650
57651
57652
57653
57654
57655
57656
57657
57658
57659
57660
57661
57662
57663
57664
57665
57666
57667
57668
57669
57670
57671
57672
57673
57674
57675
57676
57677
57678
57679
57680
57681
57682
57683
57684
57685
57686
57687
57688
57689
57690
57691
57692
57693
57694
57695
57696
57697
57698
57699
57700
57701
57702
57703
57704
57705
57706
57707
57708
57709
57710
57711
57712
57713
57714
57715
57716
57717
57718
57719
57720
57721
57722
57723
57724
57725
57726
57727
57728
57729
57730
57731
57732
57733
57734
57735
57736
57737
57738
57739
57740
57741
57742
57743
57744
57745
57746
57747
57748
57749
57750
57751
57752
57753
57754
57755
57756
57757
57758
57759
57760
57761
57762
57763
57764
57765
57766
57767
57768
57769
57770
57771
57772
57773
57774
57775
57776
57777
57778
57779
57780
57781
57782
57783
57784
57785
57786
57787
57788
57789
57790
57791
57792
57793
57794
57795
57796
57797
57798
57799
57800
57801
57802
57803
57804
57805
57806
57807
57808
57809
57810
57811
57812
57813
57814
57815
57816
57817
57818
57819
57820
57821
57822
57823
57824
57825
57826
57827
57828
57829
57830
57831
57832
57833
57834
57835
57836
57837
57838
57839
57840
57841
57842
57843
57844
57845
57846
57847
57848
57849
57850
57851
57852
57853
57854
57855
57856
57857
57858
57859
57860
57861
57862
57863
57864
57865
57866
57867
57868
57869
57870
57871
57872
57873
57874
57875
57876
57877
57878
57879
57880
57881
57882
57883
57884
57885
57886
57887
57888
57889
57890
57891
57892
57893
57894
57895
57896
57897
57898
57899
57900
57901
57902
57903
57904
57905
57906
57907
57908
57909
57910
57911
57912
57913
57914
57915
57916
57917
57918
57919
57920
57921
57922
57923
57924
57925
57926
57927
57928
57929
57930
57931
57932
57933
57934
57935
57936
57937
57938
57939
57940
57941
57942
57943
57944
57945
57946
57947
57948
57949
57950
57951
57952
57953
57954
57955
57956
57957
57958
57959
57960
57961
57962
57963
57964
57965
57966
57967
57968
57969
57970
57971
57972
57973
57974
57975
57976
57977
57978
57979
57980
57981
57982
57983
57984
57985
57986
57987
57988
57989
57990
57991
57992
57993
57994
57995
57996
57997
57998
57999
58000
58001
58002
58003
58004
58005
58006
58007
58008
58009
58010
58011
58012
58013
58014
58015
58016
58017
58018
58019
58020
58021
58022
58023
58024
58025
58026
58027
58028
58029
58030
58031
58032
58033
58034
58035
58036
58037
58038
58039
58040
58041
58042
58043
58044
58045
58046
58047
58048
58049
58050
58051
58052
58053
58054
58055
58056
58057
58058
58059
58060
58061
58062
58063
58064
58065
58066
58067
58068
58069
58070
58071
58072
58073
58074
58075
58076
58077
58078
58079
58080
58081
58082
58083
58084
58085
58086
58087
58088
58089
58090
58091
58092
58093
58094
58095
58096
58097
58098
58099
58100
58101
58102
58103
58104
58105
58106
58107
58108
58109
58110
58111
58112
58113module lm32_decoder_medium_icache (
58114
58115    instruction,
58116
58117    d_result_sel_0,
58118    d_result_sel_1,
58119    x_result_sel_csr,
58120
58121
58122
58123
58124
58125
58126
58127
58128
58129
58130    x_result_sel_sext,
58131
58132
58133    x_result_sel_logic,
58134
58135
58136
58137
58138    x_result_sel_add,
58139    m_result_sel_compare,
58140
58141
58142    m_result_sel_shift,
58143
58144
58145    w_result_sel_load,
58146
58147
58148    w_result_sel_mul,
58149
58150
58151    x_bypass_enable,
58152    m_bypass_enable,
58153    read_enable_0,
58154    read_idx_0,
58155    read_enable_1,
58156    read_idx_1,
58157    write_enable,
58158    write_idx,
58159    immediate,
58160    branch_offset,
58161    load,
58162    store,
58163    size,
58164    sign_extend,
58165    adder_op,
58166    logic_op,
58167
58168
58169    direction,
58170
58171
58172
58173
58174
58175
58176
58177
58178
58179
58180
58181
58182
58183
58184
58185
58186    branch,
58187    branch_reg,
58188    condition,
58189    bi_conditional,
58190    bi_unconditional,
58191
58192
58193
58194
58195    scall,
58196    eret,
58197
58198
58199
58200
58201
58202
58203
58204
58205    csr_write_enable
58206    );
58207
58208
58209
58210
58211
58212input [ (32-1):0] instruction;
58213
58214
58215
58216
58217
58218output [ 0:0] d_result_sel_0;
58219reg    [ 0:0] d_result_sel_0;
58220output [ 1:0] d_result_sel_1;
58221reg    [ 1:0] d_result_sel_1;
58222output x_result_sel_csr;
58223reg    x_result_sel_csr;
58224
58225
58226
58227
58228
58229
58230
58231
58232
58233
58234
58235
58236output x_result_sel_sext;
58237reg    x_result_sel_sext;
58238
58239
58240output x_result_sel_logic;
58241reg    x_result_sel_logic;
58242
58243
58244
58245
58246
58247output x_result_sel_add;
58248reg    x_result_sel_add;
58249output m_result_sel_compare;
58250reg    m_result_sel_compare;
58251
58252
58253output m_result_sel_shift;
58254reg    m_result_sel_shift;
58255
58256
58257output w_result_sel_load;
58258reg    w_result_sel_load;
58259
58260
58261output w_result_sel_mul;
58262reg    w_result_sel_mul;
58263
58264
58265output x_bypass_enable;
58266wire   x_bypass_enable;
58267output m_bypass_enable;
58268wire   m_bypass_enable;
58269output read_enable_0;
58270wire   read_enable_0;
58271output [ (5-1):0] read_idx_0;
58272wire   [ (5-1):0] read_idx_0;
58273output read_enable_1;
58274wire   read_enable_1;
58275output [ (5-1):0] read_idx_1;
58276wire   [ (5-1):0] read_idx_1;
58277output write_enable;
58278wire   write_enable;
58279output [ (5-1):0] write_idx;
58280wire   [ (5-1):0] write_idx;
58281output [ (32-1):0] immediate;
58282wire   [ (32-1):0] immediate;
58283output [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_offset;
58284wire   [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_offset;
58285output load;
58286wire   load;
58287output store;
58288wire   store;
58289output [ 1:0] size;
58290wire   [ 1:0] size;
58291output sign_extend;
58292wire   sign_extend;
58293output adder_op;
58294wire   adder_op;
58295output [ 3:0] logic_op;
58296wire   [ 3:0] logic_op;
58297
58298
58299output direction;
58300wire   direction;
58301
58302
58303
58304
58305
58306
58307
58308
58309
58310
58311
58312
58313
58314
58315
58316
58317
58318
58319
58320
58321
58322output branch;
58323wire   branch;
58324output branch_reg;
58325wire   branch_reg;
58326output [ (3-1):0] condition;
58327wire   [ (3-1):0] condition;
58328output bi_conditional;
58329wire bi_conditional;
58330output bi_unconditional;
58331wire bi_unconditional;
58332
58333
58334
58335
58336
58337output scall;
58338wire   scall;
58339output eret;
58340wire   eret;
58341
58342
58343
58344
58345
58346
58347
58348
58349
58350
58351output csr_write_enable;
58352wire   csr_write_enable;
58353
58354
58355
58356
58357
58358wire [ (32-1):0] extended_immediate;
58359wire [ (32-1):0] high_immediate;
58360wire [ (32-1):0] call_immediate;
58361wire [ (32-1):0] branch_immediate;
58362wire sign_extend_immediate;
58363wire select_high_immediate;
58364wire select_call_immediate;
58365
58366wire op_add;
58367wire op_and;
58368wire op_andhi;
58369wire op_b;
58370wire op_bi;
58371wire op_be;
58372wire op_bg;
58373wire op_bge;
58374wire op_bgeu;
58375wire op_bgu;
58376wire op_bne;
58377wire op_call;
58378wire op_calli;
58379wire op_cmpe;
58380wire op_cmpg;
58381wire op_cmpge;
58382wire op_cmpgeu;
58383wire op_cmpgu;
58384wire op_cmpne;
58385
58386
58387
58388
58389wire op_lb;
58390wire op_lbu;
58391wire op_lh;
58392wire op_lhu;
58393wire op_lw;
58394
58395
58396
58397
58398
58399
58400wire op_mul;
58401
58402
58403wire op_nor;
58404wire op_or;
58405wire op_orhi;
58406wire op_raise;
58407wire op_rcsr;
58408wire op_sb;
58409
58410
58411wire op_sextb;
58412wire op_sexth;
58413
58414
58415wire op_sh;
58416
58417
58418wire op_sl;
58419
58420
58421wire op_sr;
58422wire op_sru;
58423wire op_sub;
58424wire op_sw;
58425
58426
58427
58428
58429wire op_wcsr;
58430wire op_xnor;
58431wire op_xor;
58432
58433wire arith;
58434wire logical;
58435wire cmp;
58436wire bra;
58437wire call;
58438
58439
58440wire shift;
58441
58442
58443
58444
58445
58446
58447
58448
58449wire sext;
58450
58451
58452
58453
58454
58455
58456
58457
58458
58459
58460
58461
58462
58463
58464
58465
58466
58467
58468
58469
58470
58471
58472
58473
58474
58475
58476
58477
58478
58479
58480
58481
58482
58483
58484
58485
58486
58487function integer clogb2;
58488input [31:0] value;
58489begin
58490   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
58491        value = value >> 1;
58492end
58493endfunction
58494
58495function integer clogb2_v1;
58496input [31:0] value;
58497reg   [31:0] i;
58498reg   [31:0] temp;
58499begin
58500   temp = 0;
58501   i    = 0;
58502   for (i = 0; temp < value; i = i + 1)
58503	temp = 1<<i;
58504   clogb2_v1 = i-1;
58505end
58506endfunction
58507
58508
58509
58510
58511
58512
58513
58514
58515
58516assign op_add    = instruction[ 30:26] ==  5'b01101;
58517assign op_and    = instruction[ 30:26] ==  5'b01000;
58518assign op_andhi  = instruction[ 31:26] ==  6'b011000;
58519assign op_b      = instruction[ 31:26] ==  6'b110000;
58520assign op_bi     = instruction[ 31:26] ==  6'b111000;
58521assign op_be     = instruction[ 31:26] ==  6'b010001;
58522assign op_bg     = instruction[ 31:26] ==  6'b010010;
58523assign op_bge    = instruction[ 31:26] ==  6'b010011;
58524assign op_bgeu   = instruction[ 31:26] ==  6'b010100;
58525assign op_bgu    = instruction[ 31:26] ==  6'b010101;
58526assign op_bne    = instruction[ 31:26] ==  6'b010111;
58527assign op_call   = instruction[ 31:26] ==  6'b110110;
58528assign op_calli  = instruction[ 31:26] ==  6'b111110;
58529assign op_cmpe   = instruction[ 30:26] ==  5'b11001;
58530assign op_cmpg   = instruction[ 30:26] ==  5'b11010;
58531assign op_cmpge  = instruction[ 30:26] ==  5'b11011;
58532assign op_cmpgeu = instruction[ 30:26] ==  5'b11100;
58533assign op_cmpgu  = instruction[ 30:26] ==  5'b11101;
58534assign op_cmpne  = instruction[ 30:26] ==  5'b11111;
58535
58536
58537
58538
58539assign op_lb     = instruction[ 31:26] ==  6'b000100;
58540assign op_lbu    = instruction[ 31:26] ==  6'b010000;
58541assign op_lh     = instruction[ 31:26] ==  6'b000111;
58542assign op_lhu    = instruction[ 31:26] ==  6'b001011;
58543assign op_lw     = instruction[ 31:26] ==  6'b001010;
58544
58545
58546
58547
58548
58549
58550assign op_mul    = instruction[ 30:26] ==  5'b00010;
58551
58552
58553assign op_nor    = instruction[ 30:26] ==  5'b00001;
58554assign op_or     = instruction[ 30:26] ==  5'b01110;
58555assign op_orhi   = instruction[ 31:26] ==  6'b011110;
58556assign op_raise  = instruction[ 31:26] ==  6'b101011;
58557assign op_rcsr   = instruction[ 31:26] ==  6'b100100;
58558assign op_sb     = instruction[ 31:26] ==  6'b001100;
58559
58560
58561assign op_sextb  = instruction[ 31:26] ==  6'b101100;
58562assign op_sexth  = instruction[ 31:26] ==  6'b110111;
58563
58564
58565assign op_sh     = instruction[ 31:26] ==  6'b000011;
58566
58567
58568assign op_sl     = instruction[ 30:26] ==  5'b01111;
58569
58570
58571assign op_sr     = instruction[ 30:26] ==  5'b00101;
58572assign op_sru    = instruction[ 30:26] ==  5'b00000;
58573assign op_sub    = instruction[ 31:26] ==  6'b110010;
58574assign op_sw     = instruction[ 31:26] ==  6'b010110;
58575
58576
58577
58578
58579assign op_wcsr   = instruction[ 31:26] ==  6'b110100;
58580assign op_xnor   = instruction[ 30:26] ==  5'b01001;
58581assign op_xor    = instruction[ 30:26] ==  5'b00110;
58582
58583
58584assign arith = op_add | op_sub;
58585assign logical = op_and | op_andhi | op_nor | op_or | op_orhi | op_xor | op_xnor;
58586assign cmp = op_cmpe | op_cmpg | op_cmpge | op_cmpgeu | op_cmpgu | op_cmpne;
58587assign bi_conditional = op_be | op_bg | op_bge | op_bgeu  | op_bgu | op_bne;
58588assign bi_unconditional = op_bi;
58589assign bra = op_b | bi_unconditional | bi_conditional;
58590assign call = op_call | op_calli;
58591
58592
58593assign shift = op_sl | op_sr | op_sru;
58594
58595
58596
58597
58598
58599
58600
58601
58602
58603
58604
58605
58606
58607assign sext = op_sextb | op_sexth;
58608
58609
58610
58611
58612
58613
58614
58615
58616
58617
58618
58619assign load = op_lb | op_lbu | op_lh | op_lhu | op_lw;
58620assign store = op_sb | op_sh | op_sw;
58621
58622
58623always @(*)
58624begin
58625
58626    if (call)
58627        d_result_sel_0 =  1'b1;
58628    else
58629        d_result_sel_0 =  1'b0;
58630    if (call)
58631        d_result_sel_1 =  2'b00;
58632    else if ((instruction[31] == 1'b0) && !bra)
58633        d_result_sel_1 =  2'b10;
58634    else
58635        d_result_sel_1 =  2'b01;
58636
58637    x_result_sel_csr =  1'b0;
58638
58639
58640
58641
58642
58643
58644
58645
58646
58647
58648    x_result_sel_sext =  1'b0;
58649
58650
58651    x_result_sel_logic =  1'b0;
58652
58653
58654
58655
58656    x_result_sel_add =  1'b0;
58657    if (op_rcsr)
58658        x_result_sel_csr =  1'b1;
58659
58660
58661
58662
58663
58664
58665
58666
58667
58668
58669
58670
58671
58672
58673
58674
58675
58676
58677
58678
58679
58680
58681    else if (sext)
58682        x_result_sel_sext =  1'b1;
58683
58684
58685    else if (logical)
58686        x_result_sel_logic =  1'b1;
58687
58688
58689
58690
58691
58692    else
58693        x_result_sel_add =  1'b1;
58694
58695
58696
58697    m_result_sel_compare = cmp;
58698
58699
58700    m_result_sel_shift = shift;
58701
58702
58703
58704
58705    w_result_sel_load = load;
58706
58707
58708    w_result_sel_mul = op_mul;
58709
58710
58711end
58712
58713
58714assign x_bypass_enable =  arith
58715                        | logical
58716
58717
58718
58719
58720
58721
58722
58723
58724
58725
58726
58727
58728
58729
58730
58731
58732
58733
58734
58735
58736                        | sext
58737
58738
58739
58740
58741
58742
58743                        | op_rcsr
58744                        ;
58745
58746assign m_bypass_enable = x_bypass_enable
58747
58748
58749                        | shift
58750
58751
58752                        | cmp
58753                        ;
58754
58755assign read_enable_0 = ~(op_bi | op_calli);
58756assign read_idx_0 = instruction[25:21];
58757
58758assign read_enable_1 = ~(op_bi | op_calli | load);
58759assign read_idx_1 = instruction[20:16];
58760
58761assign write_enable = ~(bra | op_raise | store | op_wcsr);
58762assign write_idx = call
58763                    ? 5'd29
58764                    : instruction[31] == 1'b0
58765                        ? instruction[20:16]
58766                        : instruction[15:11];
58767
58768
58769assign size = instruction[27:26];
58770
58771assign sign_extend = instruction[28];
58772
58773assign adder_op = op_sub | op_cmpe | op_cmpg | op_cmpge | op_cmpgeu | op_cmpgu | op_cmpne | bra;
58774
58775assign logic_op = instruction[29:26];
58776
58777
58778
58779assign direction = instruction[29];
58780
58781
58782
58783assign branch = bra | call;
58784assign branch_reg = op_call | op_b;
58785assign condition = instruction[28:26];
58786
58787
58788
58789
58790assign scall = op_raise & instruction[2];
58791assign eret = op_b & (instruction[25:21] == 5'd30);
58792
58793
58794
58795
58796
58797
58798
58799
58800
58801
58802assign csr_write_enable = op_wcsr;
58803
58804
58805
58806assign sign_extend_immediate = ~(op_and | op_cmpgeu | op_cmpgu | op_nor | op_or | op_xnor | op_xor);
58807assign select_high_immediate = op_andhi | op_orhi;
58808assign select_call_immediate = instruction[31];
58809
58810assign high_immediate = {instruction[15:0], 16'h0000};
58811assign extended_immediate = {{16{sign_extend_immediate & instruction[15]}}, instruction[15:0]};
58812assign call_immediate = {{6{instruction[25]}}, instruction[25:0]};
58813assign branch_immediate = {{16{instruction[15]}}, instruction[15:0]};
58814
58815assign immediate = select_high_immediate ==  1'b1
58816                        ? high_immediate
58817                        : extended_immediate;
58818
58819assign branch_offset = select_call_immediate ==  1'b1
58820                        ? (call_immediate[ (clogb2(32'h7fffffff-32'h0)-2)-1:0])
58821                        : (branch_immediate[ (clogb2(32'h7fffffff-32'h0)-2)-1:0]);
58822
58823endmodule
58824
58825
58826
58827
58828
58829
58830
58831
58832
58833
58834
58835
58836
58837
58838
58839
58840
58841
58842
58843
58844
58845
58846
58847
58848
58849
58850
58851
58852
58853
58854
58855
58856
58857
58858
58859
58860
58861
58862
58863
58864
58865
58866
58867
58868
58869
58870
58871
58872
58873
58874
58875
58876
58877
58878
58879
58880
58881
58882
58883
58884
58885
58886
58887
58888
58889
58890
58891
58892
58893
58894
58895
58896
58897
58898
58899
58900
58901
58902
58903
58904
58905
58906
58907
58908
58909
58910
58911
58912
58913
58914
58915
58916
58917
58918
58919
58920
58921
58922
58923
58924
58925
58926
58927
58928
58929
58930
58931
58932
58933
58934
58935
58936
58937
58938
58939
58940
58941
58942
58943
58944
58945
58946
58947
58948
58949
58950
58951
58952
58953
58954
58955
58956
58957
58958
58959
58960
58961
58962
58963
58964
58965
58966
58967
58968
58969
58970
58971
58972
58973
58974
58975
58976
58977
58978
58979
58980
58981
58982
58983
58984
58985
58986
58987
58988
58989
58990
58991
58992
58993
58994
58995
58996
58997
58998
58999
59000
59001
59002
59003
59004
59005
59006
59007
59008
59009
59010
59011
59012
59013
59014
59015
59016
59017
59018
59019
59020
59021
59022
59023
59024
59025
59026
59027
59028
59029
59030
59031
59032
59033
59034
59035
59036
59037
59038
59039
59040
59041
59042
59043
59044
59045
59046
59047
59048
59049
59050
59051
59052
59053
59054
59055
59056
59057
59058
59059
59060
59061
59062
59063
59064
59065
59066
59067
59068
59069
59070
59071
59072
59073
59074
59075
59076
59077
59078
59079
59080
59081
59082
59083
59084
59085
59086
59087
59088
59089
59090
59091
59092
59093
59094
59095
59096
59097
59098
59099
59100
59101
59102
59103
59104
59105
59106
59107
59108
59109
59110
59111
59112
59113
59114
59115
59116
59117
59118
59119
59120
59121
59122
59123
59124
59125
59126
59127
59128
59129
59130
59131
59132
59133
59134
59135
59136
59137
59138
59139
59140
59141
59142
59143
59144
59145
59146
59147
59148
59149
59150
59151
59152
59153
59154
59155
59156
59157
59158
59159
59160
59161
59162
59163
59164
59165
59166
59167
59168
59169
59170
59171
59172
59173
59174
59175
59176
59177
59178
59179
59180
59181
59182
59183
59184
59185
59186
59187
59188
59189
59190
59191
59192
59193
59194
59195
59196
59197
59198
59199
59200
59201
59202
59203
59204
59205
59206
59207
59208
59209
59210
59211
59212
59213
59214
59215
59216
59217
59218
59219
59220
59221
59222
59223
59224
59225
59226
59227
59228
59229
59230
59231
59232
59233module lm32_icache_medium_icache (
59234
59235    clk_i,
59236    rst_i,
59237    stall_a,
59238    stall_f,
59239    address_a,
59240    address_f,
59241    read_enable_f,
59242    refill_ready,
59243    refill_data,
59244    iflush,
59245
59246
59247
59248
59249    valid_d,
59250    branch_predict_taken_d,
59251
59252    stall_request,
59253    restart_request,
59254    refill_request,
59255    refill_address,
59256    refilling,
59257    inst
59258    );
59259
59260
59261
59262
59263
59264parameter associativity = 1;
59265parameter sets = 512;
59266parameter bytes_per_line = 16;
59267parameter base_address = 0;
59268parameter limit = 0;
59269
59270localparam addr_offset_width = clogb2(bytes_per_line)-1-2;
59271localparam addr_set_width = clogb2(sets)-1;
59272localparam addr_offset_lsb = 2;
59273localparam addr_offset_msb = (addr_offset_lsb+addr_offset_width-1);
59274localparam addr_set_lsb = (addr_offset_msb+1);
59275localparam addr_set_msb = (addr_set_lsb+addr_set_width-1);
59276localparam addr_tag_lsb = (addr_set_msb+1);
59277localparam addr_tag_msb = clogb2( 32'h7fffffff- 32'h0)-1;
59278localparam addr_tag_width = (addr_tag_msb-addr_tag_lsb+1);
59279
59280
59281
59282
59283
59284input clk_i;
59285input rst_i;
59286
59287input stall_a;
59288input stall_f;
59289
59290input valid_d;
59291input branch_predict_taken_d;
59292
59293input [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] address_a;
59294input [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] address_f;
59295input read_enable_f;
59296
59297input refill_ready;
59298input [ (32-1):0] refill_data;
59299
59300input iflush;
59301
59302
59303
59304
59305
59306
59307
59308
59309
59310output stall_request;
59311wire   stall_request;
59312output restart_request;
59313reg    restart_request;
59314output refill_request;
59315wire   refill_request;
59316output [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] refill_address;
59317reg    [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] refill_address;
59318output refilling;
59319reg    refilling;
59320output [ (32-1):0] inst;
59321wire   [ (32-1):0] inst;
59322
59323
59324
59325
59326
59327wire enable;
59328wire [0:associativity-1] way_mem_we;
59329wire [ (32-1):0] way_data[0:associativity-1];
59330wire [ ((addr_tag_width+1)-1):1] way_tag[0:associativity-1];
59331wire [0:associativity-1] way_valid;
59332wire [0:associativity-1] way_match;
59333wire miss;
59334
59335wire [ (addr_set_width-1):0] tmem_read_address;
59336wire [ (addr_set_width-1):0] tmem_write_address;
59337wire [ ((addr_offset_width+addr_set_width)-1):0] dmem_read_address;
59338wire [ ((addr_offset_width+addr_set_width)-1):0] dmem_write_address;
59339wire [ ((addr_tag_width+1)-1):0] tmem_write_data;
59340
59341reg [ 3:0] state;
59342wire flushing;
59343wire check;
59344wire refill;
59345
59346reg [associativity-1:0] refill_way_select;
59347reg [ addr_offset_msb:addr_offset_lsb] refill_offset;
59348wire last_refill;
59349reg [ (addr_set_width-1):0] flush_set;
59350
59351genvar i;
59352
59353
59354
59355
59356
59357
59358
59359
59360
59361
59362
59363
59364
59365
59366
59367
59368
59369
59370
59371
59372
59373
59374
59375
59376
59377
59378
59379
59380
59381
59382
59383
59384
59385
59386
59387function integer clogb2;
59388input [31:0] value;
59389begin
59390   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
59391        value = value >> 1;
59392end
59393endfunction
59394
59395function integer clogb2_v1;
59396input [31:0] value;
59397reg   [31:0] i;
59398reg   [31:0] temp;
59399begin
59400   temp = 0;
59401   i    = 0;
59402   for (i = 0; temp < value; i = i + 1)
59403	temp = 1<<i;
59404   clogb2_v1 = i-1;
59405end
59406endfunction
59407
59408
59409
59410
59411
59412
59413
59414
59415   generate
59416      for (i = 0; i < associativity; i = i + 1)
59417	begin : memories
59418
59419	   lm32_ram
59420	     #(
59421
59422	       .data_width                 (32),
59423	       .address_width              ( (addr_offset_width+addr_set_width))
59424
59425)
59426	   way_0_data_ram
59427	     (
59428
59429	      .read_clk                   (clk_i),
59430	      .write_clk                  (clk_i),
59431	      .reset                      (rst_i),
59432	      .read_address               (dmem_read_address),
59433	      .enable_read                (enable),
59434	      .write_address              (dmem_write_address),
59435	      .enable_write               ( 1'b1),
59436	      .write_enable               (way_mem_we[i]),
59437	      .write_data                 (refill_data),
59438
59439	      .read_data                  (way_data[i])
59440	      );
59441
59442	   lm32_ram
59443	     #(
59444
59445	       .data_width                 ( (addr_tag_width+1)),
59446	       .address_width              ( addr_set_width)
59447
59448	       )
59449	   way_0_tag_ram
59450	     (
59451
59452	      .read_clk                   (clk_i),
59453	      .write_clk                  (clk_i),
59454	      .reset                      (rst_i),
59455	      .read_address               (tmem_read_address),
59456	      .enable_read                (enable),
59457	      .write_address              (tmem_write_address),
59458	      .enable_write               ( 1'b1),
59459	      .write_enable               (way_mem_we[i] | flushing),
59460	      .write_data                 (tmem_write_data),
59461
59462	      .read_data                  ({way_tag[i], way_valid[i]})
59463	      );
59464
59465	end
59466endgenerate
59467
59468
59469
59470
59471
59472
59473generate
59474    for (i = 0; i < associativity; i = i + 1)
59475    begin : match
59476assign way_match[i] = ({way_tag[i], way_valid[i]} == {address_f[ addr_tag_msb:addr_tag_lsb],  1'b1});
59477    end
59478endgenerate
59479
59480
59481generate
59482    if (associativity == 1)
59483    begin : inst_1
59484assign inst = way_match[0] ? way_data[0] : 32'b0;
59485    end
59486    else if (associativity == 2)
59487	 begin : inst_2
59488assign inst = way_match[0] ? way_data[0] : (way_match[1] ? way_data[1] : 32'b0);
59489    end
59490endgenerate
59491
59492
59493generate
59494    if (bytes_per_line > 4)
59495assign dmem_write_address = {refill_address[ addr_set_msb:addr_set_lsb], refill_offset};
59496    else
59497assign dmem_write_address = refill_address[ addr_set_msb:addr_set_lsb];
59498endgenerate
59499
59500assign dmem_read_address = address_a[ addr_set_msb:addr_offset_lsb];
59501
59502
59503assign tmem_read_address = address_a[ addr_set_msb:addr_set_lsb];
59504assign tmem_write_address = flushing
59505                                ? flush_set
59506                                : refill_address[ addr_set_msb:addr_set_lsb];
59507
59508
59509generate
59510    if (bytes_per_line > 4)
59511assign last_refill = refill_offset == {addr_offset_width{1'b1}};
59512    else
59513assign last_refill =  1'b1;
59514endgenerate
59515
59516
59517assign enable = (stall_a ==  1'b0);
59518
59519
59520generate
59521    if (associativity == 1)
59522    begin : we_1
59523assign way_mem_we[0] = (refill_ready ==  1'b1);
59524    end
59525    else
59526    begin : we_2
59527assign way_mem_we[0] = (refill_ready ==  1'b1) && (refill_way_select[0] ==  1'b1);
59528assign way_mem_we[1] = (refill_ready ==  1'b1) && (refill_way_select[1] ==  1'b1);
59529    end
59530endgenerate
59531
59532
59533assign tmem_write_data[ 0] = last_refill & !flushing;
59534assign tmem_write_data[ ((addr_tag_width+1)-1):1] = refill_address[ addr_tag_msb:addr_tag_lsb];
59535
59536
59537assign flushing = |state[1:0];
59538assign check = state[2];
59539assign refill = state[3];
59540
59541assign miss = (~(|way_match)) && (read_enable_f ==  1'b1) && (stall_f ==  1'b0) && !(valid_d && branch_predict_taken_d);
59542assign stall_request = (check ==  1'b0);
59543assign refill_request = (refill ==  1'b1);
59544
59545
59546
59547
59548
59549
59550generate
59551    if (associativity >= 2)
59552    begin : way_select
59553always @(posedge clk_i  )
59554begin
59555    if (rst_i ==  1'b1)
59556        refill_way_select <= {{associativity-1{1'b0}}, 1'b1};
59557    else
59558    begin
59559        if (miss ==  1'b1)
59560            refill_way_select <= {refill_way_select[0], refill_way_select[1]};
59561    end
59562end
59563    end
59564endgenerate
59565
59566
59567always @(posedge clk_i  )
59568begin
59569    if (rst_i ==  1'b1)
59570        refilling <=  1'b0;
59571    else
59572        refilling <= refill;
59573end
59574
59575
59576always @(posedge clk_i  )
59577begin
59578    if (rst_i ==  1'b1)
59579    begin
59580        state <=  4'b0001;
59581        flush_set <= { addr_set_width{1'b1}};
59582        refill_address <= { (clogb2(32'h7fffffff-32'h0)-2){1'bx}};
59583        restart_request <=  1'b0;
59584    end
59585    else
59586    begin
59587        case (state)
59588
59589
59590         4'b0001:
59591        begin
59592            if (flush_set == { addr_set_width{1'b0}})
59593                state <=  4'b0100;
59594            flush_set <= flush_set - 1'b1;
59595        end
59596
59597
59598         4'b0010:
59599        begin
59600            if (flush_set == { addr_set_width{1'b0}})
59601
59602
59603
59604
59605
59606
59607		state <=  4'b0100;
59608
59609            flush_set <= flush_set - 1'b1;
59610        end
59611
59612
59613         4'b0100:
59614        begin
59615            if (stall_a ==  1'b0)
59616                restart_request <=  1'b0;
59617            if (iflush ==  1'b1)
59618            begin
59619                refill_address <= address_f;
59620                state <=  4'b0010;
59621            end
59622            else if (miss ==  1'b1)
59623            begin
59624                refill_address <= address_f;
59625                state <=  4'b1000;
59626            end
59627        end
59628
59629
59630         4'b1000:
59631        begin
59632            if (refill_ready ==  1'b1)
59633            begin
59634                if (last_refill ==  1'b1)
59635                begin
59636                    restart_request <=  1'b1;
59637                    state <=  4'b0100;
59638                end
59639            end
59640        end
59641
59642        endcase
59643    end
59644end
59645
59646generate
59647    if (bytes_per_line > 4)
59648    begin
59649
59650always @(posedge clk_i  )
59651begin
59652    if (rst_i ==  1'b1)
59653        refill_offset <= {addr_offset_width{1'b0}};
59654    else
59655    begin
59656        case (state)
59657
59658
59659         4'b0100:
59660        begin
59661            if (iflush ==  1'b1)
59662                refill_offset <= {addr_offset_width{1'b0}};
59663            else if (miss ==  1'b1)
59664                refill_offset <= {addr_offset_width{1'b0}};
59665        end
59666
59667
59668         4'b1000:
59669        begin
59670            if (refill_ready ==  1'b1)
59671                refill_offset <= refill_offset + 1'b1;
59672        end
59673
59674        endcase
59675    end
59676end
59677    end
59678endgenerate
59679
59680endmodule
59681
59682
59683
59684
59685
59686
59687
59688
59689
59690
59691
59692
59693
59694
59695
59696
59697
59698
59699
59700
59701
59702
59703
59704
59705
59706
59707
59708
59709
59710
59711
59712
59713
59714
59715
59716
59717
59718
59719
59720
59721
59722
59723
59724
59725
59726
59727
59728
59729
59730
59731
59732
59733
59734
59735
59736
59737
59738
59739
59740
59741
59742
59743
59744
59745
59746
59747
59748
59749
59750
59751
59752
59753
59754
59755
59756
59757
59758
59759
59760
59761
59762
59763
59764
59765
59766
59767
59768
59769
59770
59771
59772
59773
59774
59775
59776
59777
59778
59779
59780
59781
59782
59783
59784
59785
59786
59787
59788
59789
59790
59791
59792
59793
59794
59795
59796
59797
59798
59799
59800
59801
59802
59803
59804
59805
59806
59807
59808
59809
59810
59811
59812
59813
59814
59815
59816
59817
59818
59819
59820
59821
59822
59823
59824
59825
59826
59827
59828
59829
59830
59831
59832
59833
59834
59835
59836
59837
59838
59839
59840
59841
59842
59843
59844
59845
59846
59847
59848
59849
59850
59851
59852
59853
59854
59855
59856
59857
59858
59859
59860
59861
59862
59863
59864
59865
59866
59867
59868
59869
59870
59871
59872
59873
59874
59875
59876
59877
59878
59879
59880
59881
59882
59883
59884
59885
59886
59887
59888
59889
59890
59891
59892
59893
59894
59895
59896
59897
59898
59899
59900
59901
59902
59903
59904
59905
59906
59907
59908
59909
59910
59911
59912
59913
59914
59915
59916
59917
59918
59919
59920
59921
59922
59923
59924
59925
59926
59927
59928
59929
59930
59931
59932
59933
59934
59935
59936
59937
59938
59939
59940
59941
59942
59943
59944
59945
59946
59947
59948
59949
59950
59951
59952
59953
59954
59955
59956
59957
59958
59959
59960
59961
59962
59963
59964
59965
59966
59967
59968
59969
59970
59971
59972
59973
59974
59975
59976
59977
59978
59979
59980
59981
59982
59983
59984
59985
59986
59987
59988
59989
59990
59991
59992
59993
59994
59995
59996
59997
59998
59999
60000
60001
60002
60003
60004
60005
60006
60007
60008
60009
60010
60011
60012
60013
60014
60015
60016
60017
60018
60019
60020
60021
60022
60023
60024
60025
60026
60027
60028
60029
60030
60031
60032
60033
60034
60035
60036
60037
60038
60039
60040
60041
60042
60043
60044
60045
60046
60047
60048
60049
60050
60051
60052
60053
60054
60055
60056
60057
60058
60059
60060
60061
60062
60063
60064
60065
60066
60067
60068
60069
60070
60071
60072
60073
60074
60075
60076
60077
60078
60079
60080
60081
60082
60083
60084
60085
60086
60087
60088
60089
60090
60091
60092
60093
60094
60095
60096
60097
60098
60099
60100
60101
60102
60103
60104
60105
60106
60107
60108
60109
60110
60111
60112
60113
60114
60115
60116
60117
60118
60119
60120
60121
60122
60123
60124
60125
60126
60127
60128
60129
60130
60131
60132
60133
60134
60135
60136
60137
60138
60139
60140
60141
60142
60143
60144
60145
60146
60147
60148
60149
60150
60151
60152
60153
60154
60155
60156
60157
60158
60159
60160
60161
60162
60163
60164
60165
60166
60167
60168
60169
60170
60171
60172
60173
60174
60175
60176
60177
60178
60179
60180
60181
60182
60183
60184
60185
60186
60187
60188
60189
60190
60191
60192
60193
60194
60195
60196
60197
60198
60199
60200
60201
60202
60203
60204
60205
60206
60207
60208
60209
60210
60211
60212
60213
60214
60215
60216
60217
60218
60219
60220
60221
60222
60223
60224
60225
60226
60227
60228
60229
60230
60231
60232
60233
60234
60235
60236
60237
60238
60239
60240
60241
60242
60243
60244
60245
60246
60247
60248
60249
60250
60251
60252
60253
60254
60255
60256
60257
60258
60259
60260
60261
60262
60263
60264
60265
60266
60267
60268
60269
60270
60271
60272
60273
60274
60275
60276
60277
60278
60279
60280
60281
60282
60283
60284
60285
60286
60287
60288
60289
60290
60291
60292
60293
60294
60295
60296
60297
60298
60299
60300
60301
60302
60303
60304
60305
60306
60307
60308
60309
60310
60311
60312
60313
60314
60315
60316
60317
60318
60319
60320
60321
60322
60323
60324
60325
60326
60327
60328
60329
60330
60331
60332
60333
60334
60335
60336
60337
60338
60339
60340
60341
60342
60343
60344
60345
60346
60347
60348
60349
60350
60351
60352
60353
60354
60355
60356
60357
60358
60359
60360
60361
60362
60363
60364
60365
60366
60367
60368
60369
60370
60371
60372
60373
60374
60375
60376
60377
60378
60379
60380
60381
60382
60383
60384
60385
60386
60387
60388
60389
60390
60391
60392
60393
60394
60395
60396
60397
60398
60399
60400
60401
60402
60403
60404
60405
60406
60407
60408
60409
60410
60411
60412
60413
60414
60415
60416
60417
60418
60419
60420
60421
60422
60423
60424
60425
60426
60427
60428
60429
60430
60431
60432
60433
60434
60435
60436
60437
60438
60439
60440
60441
60442
60443
60444
60445
60446
60447
60448
60449
60450
60451
60452
60453
60454
60455
60456
60457
60458
60459
60460
60461
60462
60463
60464
60465
60466
60467
60468
60469
60470
60471
60472
60473
60474
60475
60476
60477
60478
60479
60480
60481
60482
60483
60484
60485
60486
60487
60488
60489
60490
60491
60492
60493
60494
60495
60496
60497
60498
60499
60500
60501
60502
60503
60504
60505
60506
60507
60508
60509
60510
60511
60512
60513
60514
60515
60516
60517
60518
60519
60520
60521
60522
60523
60524
60525
60526
60527
60528
60529
60530
60531
60532
60533
60534
60535
60536
60537
60538
60539
60540
60541
60542
60543
60544
60545
60546
60547
60548
60549
60550
60551
60552
60553
60554
60555
60556
60557
60558
60559
60560
60561
60562
60563
60564
60565
60566
60567
60568
60569
60570
60571
60572
60573
60574
60575
60576
60577
60578
60579
60580
60581
60582
60583
60584
60585
60586
60587
60588
60589
60590
60591
60592
60593
60594
60595
60596
60597
60598
60599
60600
60601
60602
60603
60604
60605
60606
60607
60608
60609
60610
60611
60612
60613
60614
60615
60616
60617
60618
60619
60620
60621
60622
60623
60624
60625
60626
60627
60628
60629
60630
60631
60632
60633
60634
60635
60636
60637
60638
60639
60640
60641
60642
60643
60644
60645
60646
60647
60648
60649
60650
60651
60652
60653
60654
60655
60656
60657
60658
60659
60660
60661
60662
60663
60664
60665
60666
60667
60668
60669
60670
60671
60672
60673
60674
60675
60676
60677
60678
60679
60680
60681
60682
60683
60684
60685
60686
60687
60688
60689
60690
60691
60692
60693
60694
60695
60696
60697
60698
60699
60700
60701
60702
60703
60704
60705
60706
60707
60708
60709
60710
60711
60712
60713
60714
60715
60716
60717
60718
60719
60720
60721
60722
60723
60724
60725
60726
60727
60728
60729
60730
60731
60732
60733
60734
60735
60736
60737
60738
60739
60740
60741
60742
60743
60744
60745
60746
60747
60748
60749
60750
60751
60752
60753
60754
60755
60756
60757
60758
60759
60760
60761
60762
60763
60764
60765
60766
60767
60768
60769
60770
60771
60772
60773
60774
60775
60776
60777
60778
60779
60780
60781
60782
60783
60784
60785
60786
60787
60788
60789
60790
60791
60792
60793
60794
60795
60796
60797
60798
60799
60800
60801
60802
60803
60804
60805
60806
60807
60808
60809
60810
60811
60812
60813
60814
60815
60816
60817
60818
60819
60820
60821
60822
60823
60824
60825
60826
60827
60828
60829
60830
60831
60832
60833
60834
60835
60836
60837
60838
60839
60840
60841
60842
60843
60844
60845
60846
60847
60848
60849
60850
60851
60852
60853
60854
60855
60856
60857
60858
60859
60860
60861
60862
60863
60864
60865
60866
60867
60868
60869
60870
60871
60872
60873
60874
60875
60876
60877
60878
60879
60880
60881
60882
60883
60884
60885
60886
60887
60888
60889
60890
60891
60892
60893
60894
60895
60896
60897
60898
60899
60900
60901
60902
60903
60904
60905
60906
60907
60908
60909
60910
60911
60912
60913
60914
60915
60916
60917
60918
60919
60920
60921
60922
60923
60924
60925
60926
60927
60928
60929
60930
60931
60932
60933
60934
60935
60936
60937
60938
60939
60940
60941
60942
60943
60944
60945
60946
60947
60948
60949
60950
60951
60952
60953
60954
60955
60956
60957
60958
60959
60960
60961
60962
60963
60964
60965
60966
60967
60968
60969
60970
60971
60972
60973
60974
60975
60976
60977
60978
60979
60980
60981
60982
60983
60984
60985
60986
60987
60988
60989
60990
60991
60992
60993
60994
60995
60996
60997
60998
60999
61000
61001
61002
61003
61004
61005
61006
61007
61008
61009
61010
61011
61012
61013
61014
61015
61016
61017
61018
61019
61020
61021
61022
61023
61024
61025
61026
61027
61028
61029
61030
61031
61032
61033
61034
61035
61036
61037
61038
61039
61040
61041
61042
61043
61044
61045
61046
61047
61048
61049
61050
61051
61052
61053
61054
61055
61056
61057
61058
61059
61060
61061
61062
61063
61064
61065
61066
61067
61068
61069
61070
61071
61072
61073
61074
61075
61076
61077
61078
61079
61080
61081
61082
61083
61084
61085
61086
61087
61088
61089
61090
61091
61092
61093
61094
61095
61096
61097
61098
61099
61100
61101
61102
61103
61104
61105
61106
61107
61108
61109
61110
61111
61112
61113
61114
61115
61116
61117
61118
61119
61120
61121
61122
61123
61124
61125
61126
61127
61128
61129
61130
61131
61132
61133
61134
61135
61136
61137
61138
61139
61140
61141
61142
61143
61144
61145
61146
61147
61148
61149
61150
61151
61152
61153
61154
61155
61156
61157
61158
61159
61160
61161
61162
61163
61164
61165
61166
61167
61168
61169
61170
61171
61172
61173
61174
61175
61176
61177
61178
61179
61180
61181
61182
61183
61184
61185
61186
61187
61188
61189
61190
61191
61192
61193
61194
61195
61196
61197
61198
61199
61200
61201
61202
61203
61204
61205
61206
61207
61208
61209
61210
61211
61212
61213
61214
61215
61216
61217
61218
61219
61220
61221
61222
61223
61224
61225
61226
61227
61228
61229
61230
61231
61232
61233
61234
61235
61236
61237
61238
61239
61240
61241
61242
61243
61244
61245
61246
61247
61248
61249
61250
61251
61252
61253
61254
61255
61256
61257
61258
61259
61260
61261
61262
61263
61264
61265
61266
61267
61268
61269
61270
61271
61272
61273
61274
61275
61276
61277
61278
61279
61280
61281
61282
61283
61284
61285
61286
61287
61288
61289
61290
61291
61292
61293
61294
61295
61296
61297
61298
61299
61300
61301
61302
61303
61304
61305
61306
61307
61308
61309
61310
61311
61312
61313
61314
61315
61316
61317
61318
61319
61320
61321
61322
61323
61324
61325
61326
61327
61328
61329
61330
61331
61332
61333
61334
61335
61336
61337
61338
61339
61340
61341
61342
61343
61344
61345
61346
61347
61348
61349
61350
61351
61352
61353
61354
61355
61356
61357
61358
61359
61360
61361
61362
61363
61364
61365
61366
61367
61368
61369
61370
61371
61372
61373
61374
61375
61376
61377
61378
61379
61380
61381
61382
61383
61384
61385
61386
61387
61388
61389
61390
61391
61392
61393
61394
61395
61396
61397
61398
61399
61400
61401
61402
61403
61404
61405
61406
61407
61408
61409
61410
61411
61412
61413
61414
61415
61416
61417
61418
61419
61420
61421
61422
61423
61424
61425
61426
61427
61428
61429
61430
61431
61432
61433
61434
61435
61436
61437
61438
61439
61440
61441
61442
61443
61444
61445
61446
61447
61448
61449
61450
61451
61452
61453
61454
61455
61456
61457
61458
61459
61460
61461
61462
61463
61464
61465
61466
61467
61468
61469
61470
61471
61472
61473
61474
61475
61476
61477
61478
61479
61480
61481
61482
61483
61484
61485
61486
61487
61488
61489
61490
61491
61492
61493
61494
61495
61496
61497
61498
61499
61500
61501
61502
61503
61504
61505
61506
61507
61508
61509
61510
61511
61512
61513
61514
61515
61516
61517
61518
61519
61520
61521
61522
61523
61524
61525
61526
61527
61528
61529
61530
61531
61532
61533
61534
61535
61536
61537
61538
61539
61540
61541
61542
61543
61544
61545
61546
61547
61548
61549
61550
61551
61552
61553
61554
61555
61556
61557
61558
61559
61560
61561
61562
61563
61564
61565
61566
61567
61568
61569
61570
61571
61572
61573
61574
61575
61576
61577
61578
61579
61580
61581
61582
61583
61584
61585
61586
61587
61588
61589
61590
61591
61592
61593
61594
61595
61596
61597
61598
61599
61600module lm32_instruction_unit_medium_icache (
61601
61602    clk_i,
61603    rst_i,
61604
61605    stall_a,
61606    stall_f,
61607    stall_d,
61608    stall_x,
61609    stall_m,
61610    valid_f,
61611    valid_d,
61612    kill_f,
61613    branch_predict_taken_d,
61614    branch_predict_address_d,
61615
61616
61617
61618
61619
61620    exception_m,
61621    branch_taken_m,
61622    branch_mispredict_taken_m,
61623    branch_target_m,
61624
61625
61626    iflush,
61627
61628
61629
61630
61631
61632
61633
61634
61635
61636
61637
61638    i_dat_i,
61639    i_ack_i,
61640    i_err_i,
61641    i_rty_i,
61642
61643
61644
61645
61646
61647
61648
61649
61650
61651
61652
61653    pc_f,
61654    pc_d,
61655    pc_x,
61656    pc_m,
61657    pc_w,
61658
61659
61660    icache_stall_request,
61661    icache_restart_request,
61662    icache_refill_request,
61663    icache_refilling,
61664
61665
61666
61667
61668
61669    i_dat_o,
61670    i_adr_o,
61671    i_cyc_o,
61672    i_sel_o,
61673    i_stb_o,
61674    i_we_o,
61675    i_cti_o,
61676    i_lock_o,
61677    i_bte_o,
61678
61679
61680
61681
61682
61683
61684
61685
61686
61687
61688
61689
61690
61691
61692
61693
61694
61695
61696
61697    instruction_f,
61698
61699
61700    instruction_d
61701    );
61702
61703
61704
61705
61706
61707parameter eba_reset =  32'h00000000;
61708parameter associativity = 1;
61709parameter sets = 512;
61710parameter bytes_per_line = 16;
61711parameter base_address = 0;
61712parameter limit = 0;
61713
61714
61715localparam eba_reset_minus_4 = eba_reset - 4;
61716localparam addr_offset_width = bytes_per_line == 4 ? 1 : clogb2(bytes_per_line)-1-2;
61717localparam addr_offset_lsb = 2;
61718localparam addr_offset_msb = (addr_offset_lsb+addr_offset_width-1);
61719
61720
61721
61722
61723
61724
61725
61726
61727
61728
61729
61730
61731input clk_i;
61732input rst_i;
61733
61734input stall_a;
61735input stall_f;
61736input stall_d;
61737input stall_x;
61738input stall_m;
61739input valid_f;
61740input valid_d;
61741input kill_f;
61742
61743input branch_predict_taken_d;
61744input [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_predict_address_d;
61745
61746
61747
61748
61749
61750
61751input exception_m;
61752input branch_taken_m;
61753input branch_mispredict_taken_m;
61754input [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_target_m;
61755
61756
61757
61758input iflush;
61759
61760
61761
61762
61763
61764
61765
61766
61767
61768
61769
61770
61771input [ (32-1):0] i_dat_i;
61772input i_ack_i;
61773input i_err_i;
61774input i_rty_i;
61775
61776
61777
61778
61779
61780
61781
61782
61783
61784
61785
61786
61787
61788
61789
61790output [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_f;
61791reg    [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_f;
61792output [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_d;
61793reg    [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_d;
61794output [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_x;
61795reg    [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_x;
61796output [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_m;
61797reg    [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_m;
61798output [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_w;
61799reg    [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_w;
61800
61801
61802
61803output icache_stall_request;
61804wire   icache_stall_request;
61805output icache_restart_request;
61806wire   icache_restart_request;
61807output icache_refill_request;
61808wire   icache_refill_request;
61809output icache_refilling;
61810wire   icache_refilling;
61811
61812
61813
61814
61815
61816output [ (32-1):0] i_dat_o;
61817
61818
61819
61820
61821wire   [ (32-1):0] i_dat_o;
61822
61823
61824output [ (32-1):0] i_adr_o;
61825reg    [ (32-1):0] i_adr_o;
61826output i_cyc_o;
61827reg    i_cyc_o;
61828output [ (4-1):0] i_sel_o;
61829
61830
61831
61832
61833wire   [ (4-1):0] i_sel_o;
61834
61835
61836output i_stb_o;
61837reg    i_stb_o;
61838output i_we_o;
61839
61840
61841
61842
61843wire   i_we_o;
61844
61845
61846output [ (3-1):0] i_cti_o;
61847reg    [ (3-1):0] i_cti_o;
61848output i_lock_o;
61849reg    i_lock_o;
61850output [ (2-1):0] i_bte_o;
61851wire   [ (2-1):0] i_bte_o;
61852
61853
61854
61855
61856
61857
61858
61859
61860
61861
61862
61863
61864
61865
61866
61867
61868
61869
61870output [ (32-1):0] instruction_f;
61871wire   [ (32-1):0] instruction_f;
61872
61873
61874output [ (32-1):0] instruction_d;
61875reg    [ (32-1):0] instruction_d;
61876
61877
61878
61879
61880
61881reg [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_a;
61882
61883
61884
61885reg [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] restart_address;
61886
61887
61888
61889
61890
61891wire icache_read_enable_f;
61892wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] icache_refill_address;
61893reg icache_refill_ready;
61894reg [ (32-1):0] icache_refill_data;
61895wire [ (32-1):0] icache_data_f;
61896wire [ (3-1):0] first_cycle_type;
61897wire [ (3-1):0] next_cycle_type;
61898wire last_word;
61899wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] first_address;
61900
61901
61902
61903
61904
61905
61906
61907
61908
61909
61910
61911
61912
61913
61914
61915
61916
61917
61918
61919
61920
61921
61922
61923
61924
61925
61926
61927
61928
61929
61930
61931
61932
61933
61934
61935
61936
61937
61938
61939
61940
61941
61942
61943
61944
61945
61946
61947
61948
61949
61950
61951
61952
61953
61954
61955
61956
61957
61958
61959
61960
61961
61962
61963
61964
61965
61966
61967
61968
61969
61970function integer clogb2;
61971input [31:0] value;
61972begin
61973   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
61974        value = value >> 1;
61975end
61976endfunction
61977
61978function integer clogb2_v1;
61979input [31:0] value;
61980reg   [31:0] i;
61981reg   [31:0] temp;
61982begin
61983   temp = 0;
61984   i    = 0;
61985   for (i = 0; temp < value; i = i + 1)
61986	temp = 1<<i;
61987   clogb2_v1 = i-1;
61988end
61989endfunction
61990
61991
61992
61993
61994
61995
61996
61997
61998
61999
62000
62001
62002lm32_icache_medium_icache #(
62003    .associativity          (associativity),
62004    .sets                   (sets),
62005    .bytes_per_line         (bytes_per_line),
62006    .base_address           (base_address),
62007    .limit                  (limit)
62008    ) icache (
62009
62010    .clk_i                  (clk_i),
62011    .rst_i                  (rst_i),
62012    .stall_a                (stall_a),
62013    .stall_f                (stall_f),
62014    .branch_predict_taken_d (branch_predict_taken_d),
62015    .valid_d                (valid_d),
62016    .address_a              (pc_a),
62017    .address_f              (pc_f),
62018    .read_enable_f          (icache_read_enable_f),
62019    .refill_ready           (icache_refill_ready),
62020    .refill_data            (icache_refill_data),
62021    .iflush                 (iflush),
62022
62023    .stall_request          (icache_stall_request),
62024    .restart_request        (icache_restart_request),
62025    .refill_request         (icache_refill_request),
62026    .refill_address         (icache_refill_address),
62027    .refilling              (icache_refilling),
62028    .inst                   (icache_data_f)
62029    );
62030
62031
62032
62033
62034
62035
62036
62037
62038
62039
62040   assign icache_read_enable_f =    (valid_f ==  1'b1)
62041     && (kill_f ==  1'b0)
62042
62043
62044
62045
62046
62047
62048
62049
62050				    ;
62051
62052
62053
62054
62055always @(*)
62056begin
62057
62058
62059
62060
62061
62062
62063
62064      if (branch_taken_m ==  1'b1)
62065	if ((branch_mispredict_taken_m ==  1'b1) && (exception_m ==  1'b0))
62066	  pc_a = pc_x;
62067	else
62068          pc_a = branch_target_m;
62069
62070
62071
62072
62073
62074      else
62075	if ( (valid_d ==  1'b1) && (branch_predict_taken_d ==  1'b1) )
62076	  pc_a = branch_predict_address_d;
62077	else
62078
62079
62080          if (icache_restart_request ==  1'b1)
62081            pc_a = restart_address;
62082	  else
62083
62084
62085            pc_a = pc_f + 1'b1;
62086end
62087
62088
62089
62090
62091
62092
62093
62094
62095
62096
62097
62098
62099
62100
62101
62102
62103
62104
62105
62106
62107
62108
62109
62110
62111
62112
62113
62114
62115
62116
62117
62118
62119
62120
62121
62122assign instruction_f = icache_data_f;
62123
62124
62125
62126
62127
62128
62129
62130
62131
62132
62133
62134
62135
62136
62137assign i_dat_o = 32'd0;
62138assign i_we_o =  1'b0;
62139assign i_sel_o = 4'b1111;
62140
62141
62142assign i_bte_o =  2'b00;
62143
62144
62145
62146
62147
62148
62149generate
62150    case (bytes_per_line)
62151    4:
62152    begin
62153assign first_cycle_type =  3'b111;
62154assign next_cycle_type =  3'b111;
62155assign last_word =  1'b1;
62156assign first_address = icache_refill_address;
62157    end
62158    8:
62159    begin
62160assign first_cycle_type =  3'b010;
62161assign next_cycle_type =  3'b111;
62162assign last_word = i_adr_o[addr_offset_msb:addr_offset_lsb] == 1'b1;
62163assign first_address = {icache_refill_address[ (clogb2(32'h7fffffff-32'h0)-2)+2-1:addr_offset_msb+1], {addr_offset_width{1'b0}}};
62164    end
62165    16:
62166    begin
62167assign first_cycle_type =  3'b010;
62168assign next_cycle_type = i_adr_o[addr_offset_msb] == 1'b1 ?  3'b111 :  3'b010;
62169assign last_word = i_adr_o[addr_offset_msb:addr_offset_lsb] == 2'b11;
62170assign first_address = {icache_refill_address[ (clogb2(32'h7fffffff-32'h0)-2)+2-1:addr_offset_msb+1], {addr_offset_width{1'b0}}};
62171    end
62172    endcase
62173endgenerate
62174
62175
62176
62177
62178
62179
62180
62181
62182always @(posedge clk_i  )
62183begin
62184    if (rst_i ==  1'b1)
62185    begin
62186        pc_f <= eba_reset_minus_4[ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2];
62187        pc_d <= { (clogb2(32'h7fffffff-32'h0)-2){1'b0}};
62188        pc_x <= { (clogb2(32'h7fffffff-32'h0)-2){1'b0}};
62189        pc_m <= { (clogb2(32'h7fffffff-32'h0)-2){1'b0}};
62190        pc_w <= { (clogb2(32'h7fffffff-32'h0)-2){1'b0}};
62191    end
62192    else
62193    begin
62194        if (stall_f ==  1'b0)
62195            pc_f <= pc_a;
62196        if (stall_d ==  1'b0)
62197            pc_d <= pc_f;
62198        if (stall_x ==  1'b0)
62199            pc_x <= pc_d;
62200        if (stall_m ==  1'b0)
62201            pc_m <= pc_x;
62202        pc_w <= pc_m;
62203    end
62204end
62205
62206
62207
62208
62209always @(posedge clk_i  )
62210begin
62211    if (rst_i ==  1'b1)
62212        restart_address <= { (clogb2(32'h7fffffff-32'h0)-2){1'b0}};
62213    else
62214    begin
62215
62216
62217
62218
62219
62220
62221
62222
62223
62224
62225
62226
62227
62228
62229
62230            if (icache_refill_request ==  1'b1)
62231                restart_address <= icache_refill_address;
62232
62233
62234
62235
62236    end
62237end
62238
62239
62240
62241
62242
62243
62244
62245
62246
62247
62248
62249
62250
62251
62252
62253
62254
62255
62256
62257
62258
62259
62260
62261
62262
62263
62264
62265
62266
62267
62268
62269
62270
62271
62272
62273
62274
62275
62276
62277   always @(posedge clk_i  )
62278     begin
62279	if (rst_i ==  1'b1)
62280	  begin
62281             i_cyc_o <=  1'b0;
62282             i_stb_o <=  1'b0;
62283             i_adr_o <= { 32{1'b0}};
62284             i_cti_o <=  3'b111;
62285             i_lock_o <=  1'b0;
62286             icache_refill_data <= { 32{1'b0}};
62287             icache_refill_ready <=  1'b0;
62288
62289
62290
62291
62292
62293
62294
62295
62296
62297
62298	  end
62299	else
62300	  begin
62301             icache_refill_ready <=  1'b0;
62302
62303             if (i_cyc_o ==  1'b1)
62304               begin
62305
62306		  if ((i_ack_i ==  1'b1) || (i_err_i ==  1'b1))
62307		    begin
62308
62309
62310
62311
62312
62313
62314
62315
62316
62317
62318
62319			 begin
62320			    if (last_word ==  1'b1)
62321			      begin
62322
62323				 i_cyc_o <=  1'b0;
62324				 i_stb_o <=  1'b0;
62325				 i_lock_o <=  1'b0;
62326			      end
62327
62328			    i_adr_o[addr_offset_msb:addr_offset_lsb] <= i_adr_o[addr_offset_msb:addr_offset_lsb] + 1'b1;
62329			    i_cti_o <= next_cycle_type;
62330
62331			    icache_refill_ready <=  1'b1;
62332			    icache_refill_data <= i_dat_i;
62333			 end
62334		    end
62335
62336
62337
62338
62339
62340
62341
62342
62343
62344
62345               end
62346             else
62347               begin
62348		  if ((icache_refill_request ==  1'b1) && (icache_refill_ready ==  1'b0))
62349		    begin
62350
62351
62352
62353
62354
62355                       i_adr_o <= {first_address, 2'b00};
62356                       i_cyc_o <=  1'b1;
62357                       i_stb_o <=  1'b1;
62358                       i_cti_o <= first_cycle_type;
62359
62360
62361
62362
62363
62364		    end
62365
62366
62367
62368
62369
62370
62371
62372
62373
62374
62375
62376
62377
62378
62379
62380
62381
62382
62383
62384
62385
62386
62387
62388
62389
62390
62391
62392
62393
62394
62395
62396
62397
62398               end
62399	  end
62400     end
62401
62402
62403
62404
62405
62406
62407
62408
62409
62410
62411
62412
62413
62414
62415
62416
62417
62418
62419
62420
62421
62422
62423
62424
62425
62426
62427
62428
62429
62430
62431
62432
62433
62434
62435
62436
62437
62438
62439
62440
62441
62442
62443
62444
62445
62446
62447
62448
62449
62450
62451
62452
62453
62454
62455
62456
62457
62458
62459
62460
62461
62462
62463
62464
62465
62466
62467
62468
62469
62470
62471
62472
62473
62474
62475
62476
62477
62478
62479
62480
62481
62482
62483   always @(posedge clk_i  )
62484     begin
62485	if (rst_i ==  1'b1)
62486	  begin
62487             instruction_d <= { 32{1'b0}};
62488
62489
62490
62491
62492	  end
62493	else
62494	  begin
62495             if (stall_d ==  1'b0)
62496               begin
62497		  instruction_d <= instruction_f;
62498
62499
62500
62501
62502               end
62503	  end
62504     end
62505
62506endmodule
62507
62508
62509
62510
62511
62512
62513
62514
62515
62516
62517
62518
62519
62520
62521
62522
62523
62524
62525
62526
62527
62528
62529
62530
62531
62532
62533
62534
62535
62536
62537
62538
62539
62540
62541
62542
62543
62544
62545
62546
62547
62548
62549
62550
62551
62552
62553
62554
62555
62556
62557
62558
62559
62560
62561
62562
62563
62564
62565
62566
62567
62568
62569
62570
62571
62572
62573
62574
62575
62576
62577
62578
62579
62580
62581
62582
62583
62584
62585
62586
62587
62588
62589
62590
62591
62592
62593
62594
62595
62596
62597
62598
62599
62600
62601
62602
62603
62604
62605
62606
62607
62608
62609
62610
62611
62612
62613
62614
62615
62616
62617
62618
62619
62620
62621
62622
62623
62624
62625
62626
62627
62628
62629
62630
62631
62632
62633
62634
62635
62636
62637
62638
62639
62640
62641
62642
62643
62644
62645
62646
62647
62648
62649
62650
62651
62652
62653
62654
62655
62656
62657
62658
62659
62660
62661
62662
62663
62664
62665
62666
62667
62668
62669
62670
62671
62672
62673
62674
62675
62676
62677
62678
62679
62680
62681
62682
62683
62684
62685
62686
62687
62688
62689
62690
62691
62692
62693
62694
62695
62696
62697
62698
62699
62700
62701
62702
62703
62704
62705
62706
62707
62708
62709
62710
62711
62712
62713
62714
62715
62716
62717
62718
62719
62720
62721
62722
62723
62724
62725
62726
62727
62728
62729
62730
62731
62732
62733
62734
62735
62736
62737
62738
62739
62740
62741
62742
62743
62744
62745
62746
62747
62748
62749
62750
62751
62752
62753
62754
62755
62756
62757
62758
62759
62760
62761
62762
62763
62764
62765
62766
62767
62768
62769
62770
62771
62772
62773
62774
62775
62776
62777
62778
62779
62780
62781
62782
62783
62784
62785
62786
62787
62788
62789
62790
62791
62792
62793
62794
62795
62796
62797
62798
62799
62800
62801
62802
62803
62804
62805
62806
62807
62808
62809
62810
62811
62812
62813
62814
62815
62816
62817
62818
62819
62820
62821
62822
62823
62824
62825
62826
62827
62828
62829
62830
62831
62832
62833
62834
62835
62836
62837
62838
62839
62840
62841
62842
62843
62844
62845
62846
62847
62848
62849
62850
62851
62852
62853
62854
62855
62856
62857
62858
62859
62860
62861
62862
62863
62864
62865
62866
62867
62868
62869
62870
62871
62872
62873
62874
62875
62876
62877
62878
62879
62880
62881
62882
62883
62884
62885
62886
62887
62888
62889
62890
62891
62892
62893
62894
62895
62896
62897
62898
62899
62900
62901
62902
62903
62904
62905
62906
62907
62908
62909
62910
62911
62912
62913
62914
62915
62916
62917
62918
62919
62920
62921
62922
62923
62924
62925
62926
62927
62928
62929
62930
62931
62932
62933
62934
62935
62936
62937
62938
62939
62940
62941
62942
62943
62944
62945
62946
62947
62948
62949
62950
62951
62952
62953
62954
62955
62956
62957
62958
62959
62960
62961
62962
62963
62964
62965
62966
62967
62968
62969
62970
62971
62972
62973
62974
62975
62976
62977
62978
62979
62980
62981
62982
62983
62984
62985
62986
62987
62988
62989
62990
62991
62992
62993
62994
62995
62996
62997
62998
62999
63000
63001
63002
63003
63004
63005
63006
63007
63008
63009
63010
63011
63012
63013
63014
63015
63016
63017
63018
63019
63020
63021
63022
63023
63024
63025
63026
63027
63028
63029
63030
63031
63032
63033
63034
63035
63036
63037
63038
63039
63040
63041
63042
63043
63044
63045
63046
63047
63048
63049
63050
63051
63052
63053
63054
63055
63056
63057
63058
63059
63060
63061
63062
63063
63064
63065
63066
63067
63068
63069
63070
63071
63072
63073
63074
63075
63076
63077
63078
63079
63080
63081
63082
63083
63084
63085
63086
63087
63088
63089
63090
63091
63092
63093
63094
63095
63096
63097
63098
63099
63100
63101
63102
63103
63104
63105
63106
63107
63108
63109
63110
63111
63112
63113
63114
63115
63116
63117
63118
63119
63120
63121
63122
63123
63124
63125
63126
63127
63128
63129
63130
63131
63132
63133
63134
63135
63136
63137
63138
63139
63140
63141
63142
63143
63144
63145
63146
63147
63148
63149
63150
63151
63152
63153
63154
63155
63156
63157
63158
63159
63160
63161
63162
63163
63164
63165
63166
63167
63168
63169
63170
63171
63172
63173
63174
63175
63176
63177
63178
63179
63180
63181
63182
63183
63184
63185
63186
63187
63188
63189
63190
63191
63192
63193
63194
63195
63196
63197
63198
63199
63200
63201
63202
63203
63204
63205
63206
63207
63208
63209
63210
63211
63212
63213
63214
63215
63216
63217
63218
63219
63220
63221
63222
63223
63224
63225
63226
63227
63228
63229
63230
63231
63232
63233
63234
63235
63236
63237
63238
63239
63240
63241
63242
63243
63244
63245
63246
63247
63248
63249
63250
63251
63252
63253
63254
63255
63256
63257
63258
63259
63260
63261
63262
63263
63264
63265
63266
63267
63268
63269
63270
63271
63272
63273
63274
63275
63276
63277
63278
63279
63280
63281
63282
63283
63284
63285
63286
63287
63288
63289
63290
63291
63292
63293
63294
63295
63296
63297
63298
63299
63300
63301
63302
63303
63304
63305
63306
63307
63308
63309
63310
63311
63312
63313
63314
63315
63316
63317
63318
63319
63320
63321
63322
63323
63324
63325
63326
63327
63328
63329
63330
63331
63332
63333
63334
63335
63336
63337
63338
63339
63340
63341
63342
63343
63344
63345
63346
63347
63348
63349
63350
63351
63352
63353
63354
63355
63356
63357
63358
63359
63360
63361
63362
63363
63364
63365
63366
63367
63368
63369
63370
63371
63372
63373
63374
63375
63376
63377
63378
63379
63380
63381
63382
63383
63384
63385
63386
63387
63388
63389
63390
63391
63392
63393
63394
63395
63396
63397
63398
63399
63400
63401
63402
63403
63404
63405
63406
63407
63408
63409
63410
63411
63412
63413
63414
63415
63416
63417
63418
63419
63420
63421
63422
63423
63424
63425
63426
63427
63428
63429
63430
63431
63432
63433
63434
63435
63436
63437
63438
63439
63440
63441
63442
63443
63444
63445
63446
63447
63448
63449
63450
63451
63452
63453
63454
63455
63456
63457
63458
63459
63460
63461
63462
63463
63464
63465
63466
63467
63468
63469
63470
63471
63472
63473
63474
63475
63476
63477
63478
63479
63480
63481
63482
63483
63484
63485
63486
63487
63488
63489
63490
63491
63492
63493
63494
63495
63496
63497
63498
63499
63500
63501
63502
63503
63504
63505
63506
63507
63508
63509
63510
63511
63512
63513
63514
63515
63516
63517
63518
63519
63520
63521
63522
63523
63524
63525
63526
63527
63528
63529
63530
63531
63532
63533
63534
63535
63536
63537
63538
63539
63540
63541
63542
63543
63544
63545
63546
63547
63548
63549
63550
63551
63552
63553
63554
63555
63556
63557
63558
63559
63560
63561
63562
63563
63564
63565
63566
63567
63568
63569
63570
63571
63572
63573
63574
63575
63576
63577
63578
63579
63580
63581
63582
63583
63584
63585
63586
63587
63588
63589
63590
63591
63592
63593
63594
63595
63596
63597
63598
63599
63600
63601
63602
63603
63604
63605
63606
63607
63608
63609
63610
63611
63612
63613
63614
63615
63616
63617
63618
63619
63620
63621
63622
63623
63624
63625
63626
63627
63628
63629
63630
63631
63632
63633
63634
63635
63636
63637
63638
63639
63640
63641
63642
63643
63644
63645
63646
63647
63648
63649
63650
63651
63652
63653
63654
63655
63656
63657
63658
63659
63660
63661
63662
63663module lm32_interrupt_medium_icache (
63664
63665    clk_i,
63666    rst_i,
63667
63668    interrupt,
63669
63670    stall_x,
63671
63672
63673
63674
63675
63676    exception,
63677
63678
63679    eret_q_x,
63680
63681
63682
63683
63684    csr,
63685    csr_write_data,
63686    csr_write_enable,
63687
63688    interrupt_exception,
63689
63690    csr_read_data
63691    );
63692
63693
63694
63695
63696
63697parameter interrupts =  32;
63698
63699
63700
63701
63702
63703input clk_i;
63704input rst_i;
63705
63706input [interrupts-1:0] interrupt;
63707
63708input stall_x;
63709
63710
63711
63712
63713
63714
63715input exception;
63716
63717
63718input eret_q_x;
63719
63720
63721
63722
63723
63724input [ (4 -1):0] csr;
63725input [ (32-1):0] csr_write_data;
63726input csr_write_enable;
63727
63728
63729
63730
63731
63732output interrupt_exception;
63733wire   interrupt_exception;
63734
63735output [ (32-1):0] csr_read_data;
63736reg    [ (32-1):0] csr_read_data;
63737
63738
63739
63740
63741
63742wire [interrupts-1:0] asserted;
63743
63744wire [interrupts-1:0] interrupt_n_exception;
63745
63746
63747
63748reg ie;
63749reg eie;
63750
63751
63752
63753
63754reg [interrupts-1:0] ip;
63755reg [interrupts-1:0] im;
63756
63757
63758
63759
63760
63761
63762assign interrupt_n_exception = ip & im;
63763
63764
63765assign interrupt_exception = (|interrupt_n_exception) & ie;
63766
63767
63768assign asserted = ip | interrupt;
63769
63770generate
63771    if (interrupts > 1)
63772    begin
63773
63774always @(*)
63775begin
63776    case (csr)
63777     4 'h0:  csr_read_data = {{ 32-3{1'b0}},
63778
63779
63780
63781
63782                                    1'b0,
63783
63784
63785                                    eie,
63786                                    ie
63787                                   };
63788     4 'h2:  csr_read_data = ip;
63789     4 'h1:  csr_read_data = im;
63790    default:       csr_read_data = { 32{1'bx}};
63791    endcase
63792end
63793    end
63794    else
63795    begin
63796
63797always @(*)
63798begin
63799    case (csr)
63800     4 'h0:  csr_read_data = {{ 32-3{1'b0}},
63801
63802
63803
63804
63805                                    1'b0,
63806
63807
63808                                    eie,
63809                                    ie
63810                                   };
63811     4 'h2:  csr_read_data = ip;
63812    default:       csr_read_data = { 32{1'bx}};
63813      endcase
63814end
63815    end
63816endgenerate
63817
63818
63819
63820
63821
63822
63823
63824   reg [ 10:0] eie_delay  = 0;
63825
63826
63827generate
63828
63829
63830    if (interrupts > 1)
63831    begin
63832
63833always @(posedge clk_i  )
63834  begin
63835    if (rst_i ==  1'b1)
63836    begin
63837        ie                   <=  1'b0;
63838        eie                  <=  1'b0;
63839
63840
63841
63842
63843        im                   <= {interrupts{1'b0}};
63844        ip                   <= {interrupts{1'b0}};
63845       eie_delay             <= 0;
63846
63847    end
63848    else
63849    begin
63850
63851        ip                   <= asserted;
63852
63853
63854
63855
63856
63857
63858
63859
63860
63861
63862
63863
63864
63865
63866
63867        if (exception ==  1'b1)
63868        begin
63869
63870            eie              <= ie;
63871            ie               <=  1'b0;
63872        end
63873
63874
63875        else if (stall_x ==  1'b0)
63876        begin
63877
63878           if(eie_delay[0])
63879             ie              <= eie;
63880
63881           eie_delay         <= {1'b0, eie_delay[ 10:1]};
63882
63883            if (eret_q_x ==  1'b1) begin
63884
63885               eie_delay[ 10] <=  1'b1;
63886               eie_delay[ 10-1:0] <= 0;
63887            end
63888
63889
63890
63891
63892
63893
63894
63895
63896
63897            else if (csr_write_enable ==  1'b1)
63898            begin
63899
63900                if (csr ==  4 'h0)
63901                begin
63902                    ie  <= csr_write_data[0];
63903                    eie <= csr_write_data[1];
63904
63905
63906
63907
63908                end
63909                if (csr ==  4 'h1)
63910                    im  <= csr_write_data[interrupts-1:0];
63911                if (csr ==  4 'h2)
63912                    ip  <= asserted & ~csr_write_data[interrupts-1:0];
63913            end
63914        end
63915    end
63916end
63917    end
63918else
63919    begin
63920
63921always @(posedge clk_i  )
63922  begin
63923    if (rst_i ==  1'b1)
63924    begin
63925        ie              <=  1'b0;
63926        eie             <=  1'b0;
63927
63928
63929
63930
63931        ip              <= {interrupts{1'b0}};
63932       eie_delay        <= 0;
63933    end
63934    else
63935    begin
63936
63937        ip              <= asserted;
63938
63939
63940
63941
63942
63943
63944
63945
63946
63947
63948
63949
63950
63951
63952
63953        if (exception ==  1'b1)
63954        begin
63955
63956            eie         <= ie;
63957            ie          <=  1'b0;
63958        end
63959
63960
63961        else if (stall_x ==  1'b0)
63962          begin
63963
63964             if(eie_delay[0])
63965               ie              <= eie;
63966
63967             eie_delay         <= {1'b0, eie_delay[ 10:1]};
63968
63969             if (eret_q_x ==  1'b1) begin
63970
63971                eie_delay[ 10] <=  1'b1;
63972                eie_delay[ 10-1:0] <= 0;
63973             end
63974
63975
63976
63977
63978
63979
63980
63981            else if (csr_write_enable ==  1'b1)
63982            begin
63983
63984                if (csr ==  4 'h0)
63985                begin
63986                    ie  <= csr_write_data[0];
63987                    eie <= csr_write_data[1];
63988
63989
63990
63991
63992                end
63993                if (csr ==  4 'h2)
63994                    ip  <= asserted & ~csr_write_data[interrupts-1:0];
63995            end
63996        end
63997    end
63998end
63999    end
64000endgenerate
64001
64002endmodule
64003
64004
64005
64006
64007
64008
64009
64010
64011
64012
64013
64014
64015
64016
64017
64018
64019
64020
64021
64022
64023
64024
64025
64026
64027
64028
64029
64030
64031
64032
64033
64034
64035
64036
64037
64038
64039
64040
64041
64042
64043
64044
64045
64046
64047
64048
64049
64050
64051
64052
64053
64054
64055
64056
64057
64058
64059
64060
64061
64062
64063
64064
64065
64066
64067
64068
64069
64070
64071
64072
64073
64074
64075
64076
64077
64078
64079
64080
64081
64082
64083
64084
64085
64086
64087
64088
64089
64090
64091
64092
64093
64094
64095
64096
64097
64098
64099
64100
64101
64102
64103
64104
64105
64106
64107
64108
64109
64110
64111
64112
64113
64114
64115
64116
64117
64118
64119
64120
64121
64122
64123
64124
64125
64126
64127
64128
64129
64130
64131
64132
64133
64134
64135
64136
64137
64138
64139
64140
64141
64142
64143
64144
64145
64146
64147
64148
64149
64150
64151
64152
64153
64154
64155
64156
64157
64158
64159
64160
64161
64162
64163
64164
64165
64166
64167
64168
64169
64170
64171
64172
64173
64174
64175
64176
64177
64178
64179
64180
64181
64182
64183
64184
64185
64186
64187
64188
64189
64190
64191
64192
64193
64194
64195
64196
64197
64198
64199
64200
64201
64202
64203
64204
64205
64206
64207
64208
64209
64210
64211
64212
64213
64214
64215
64216
64217
64218
64219
64220
64221
64222
64223
64224
64225
64226
64227
64228
64229
64230
64231
64232
64233
64234
64235
64236
64237
64238
64239
64240
64241
64242
64243
64244
64245
64246
64247
64248
64249
64250
64251
64252
64253
64254
64255
64256
64257
64258
64259
64260
64261
64262
64263
64264
64265
64266
64267
64268
64269
64270
64271
64272
64273
64274
64275
64276
64277
64278
64279
64280
64281
64282
64283
64284
64285
64286
64287
64288
64289
64290
64291
64292
64293
64294
64295
64296
64297
64298
64299
64300
64301
64302
64303
64304
64305
64306
64307
64308
64309
64310
64311
64312
64313
64314
64315
64316
64317
64318
64319
64320
64321
64322
64323
64324
64325
64326
64327
64328
64329
64330
64331
64332
64333
64334
64335
64336
64337
64338
64339
64340
64341
64342
64343
64344
64345
64346
64347
64348
64349
64350
64351
64352
64353
64354
64355
64356
64357
64358
64359
64360
64361
64362
64363
64364
64365
64366
64367
64368
64369
64370
64371
64372
64373
64374
64375
64376
64377
64378
64379
64380
64381
64382
64383
64384
64385
64386
64387
64388
64389
64390
64391
64392
64393
64394
64395
64396
64397
64398
64399
64400
64401
64402
64403
64404
64405
64406
64407
64408
64409
64410
64411
64412
64413
64414
64415
64416
64417
64418
64419
64420
64421
64422
64423
64424
64425
64426
64427
64428
64429
64430
64431
64432
64433
64434
64435
64436
64437
64438
64439
64440
64441
64442
64443
64444
64445
64446
64447
64448
64449
64450
64451
64452
64453
64454
64455
64456
64457
64458
64459
64460
64461
64462
64463
64464
64465
64466
64467
64468
64469
64470
64471
64472
64473
64474
64475
64476
64477
64478
64479
64480
64481
64482
64483
64484
64485
64486
64487
64488
64489
64490
64491
64492
64493
64494
64495
64496
64497
64498
64499
64500
64501
64502
64503
64504
64505
64506
64507
64508
64509
64510
64511
64512
64513
64514
64515
64516
64517
64518
64519
64520
64521
64522
64523
64524
64525
64526
64527
64528
64529
64530
64531
64532
64533
64534
64535
64536
64537
64538
64539
64540
64541
64542
64543
64544
64545
64546
64547
64548
64549
64550
64551
64552
64553
64554
64555
64556
64557
64558
64559
64560
64561
64562
64563
64564
64565
64566
64567
64568
64569
64570
64571
64572
64573
64574
64575
64576
64577
64578
64579
64580
64581
64582
64583
64584
64585
64586
64587
64588
64589
64590
64591
64592
64593
64594module lm32_top_medium_icache_debug (
64595
64596    clk_i,
64597    rst_i,
64598
64599
64600    interrupt,
64601
64602
64603
64604
64605
64606
64607
64608
64609
64610
64611    I_DAT_I,
64612    I_ACK_I,
64613    I_ERR_I,
64614    I_RTY_I,
64615
64616
64617
64618    D_DAT_I,
64619    D_ACK_I,
64620    D_ERR_I,
64621    D_RTY_I,
64622
64623
64624
64625
64626
64627
64628
64629
64630
64631
64632
64633    I_DAT_O,
64634    I_ADR_O,
64635    I_CYC_O,
64636    I_SEL_O,
64637    I_STB_O,
64638    I_WE_O,
64639    I_CTI_O,
64640    I_LOCK_O,
64641    I_BTE_O,
64642
64643
64644
64645    D_DAT_O,
64646    D_ADR_O,
64647    D_CYC_O,
64648    D_SEL_O,
64649    D_STB_O,
64650    D_WE_O,
64651    D_CTI_O,
64652    D_LOCK_O,
64653    D_BTE_O
64654    );
64655
64656parameter eba_reset = 32'h00000000;
64657parameter sdb_address = 32'h00000000;
64658
64659
64660
64661
64662input clk_i;
64663input rst_i;
64664
64665
64666input [ (32-1):0] interrupt;
64667
64668
64669
64670
64671
64672
64673
64674
64675
64676
64677input [ (32-1):0] I_DAT_I;
64678input I_ACK_I;
64679input I_ERR_I;
64680input I_RTY_I;
64681
64682
64683
64684input [ (32-1):0] D_DAT_I;
64685input D_ACK_I;
64686input D_ERR_I;
64687input D_RTY_I;
64688
64689
64690
64691
64692
64693
64694
64695
64696
64697
64698
64699
64700
64701
64702
64703
64704
64705
64706
64707output [ (32-1):0] I_DAT_O;
64708wire   [ (32-1):0] I_DAT_O;
64709output [ (32-1):0] I_ADR_O;
64710wire   [ (32-1):0] I_ADR_O;
64711output I_CYC_O;
64712wire   I_CYC_O;
64713output [ (4-1):0] I_SEL_O;
64714wire   [ (4-1):0] I_SEL_O;
64715output I_STB_O;
64716wire   I_STB_O;
64717output I_WE_O;
64718wire   I_WE_O;
64719output [ (3-1):0] I_CTI_O;
64720wire   [ (3-1):0] I_CTI_O;
64721output I_LOCK_O;
64722wire   I_LOCK_O;
64723output [ (2-1):0] I_BTE_O;
64724wire   [ (2-1):0] I_BTE_O;
64725
64726
64727
64728output [ (32-1):0] D_DAT_O;
64729wire   [ (32-1):0] D_DAT_O;
64730output [ (32-1):0] D_ADR_O;
64731wire   [ (32-1):0] D_ADR_O;
64732output D_CYC_O;
64733wire   D_CYC_O;
64734output [ (4-1):0] D_SEL_O;
64735wire   [ (4-1):0] D_SEL_O;
64736output D_STB_O;
64737wire   D_STB_O;
64738output D_WE_O;
64739wire   D_WE_O;
64740output [ (3-1):0] D_CTI_O;
64741wire   [ (3-1):0] D_CTI_O;
64742output D_LOCK_O;
64743wire   D_LOCK_O;
64744output [ (2-1):0] D_BTE_O;
64745wire   [ (2-1):0] D_BTE_O;
64746
64747
64748
64749
64750
64751
64752
64753
64754wire [ 7:0] jtag_reg_d;
64755wire [ 7:0] jtag_reg_q;
64756wire jtag_update;
64757wire [2:0] jtag_reg_addr_d;
64758wire [2:0] jtag_reg_addr_q;
64759wire jtck;
64760wire jrstn;
64761
64762
64763
64764
64765
64766
64767
64768
64769
64770
64771
64772
64773
64774
64775
64776
64777
64778
64779
64780
64781
64782
64783
64784
64785
64786
64787
64788
64789
64790
64791
64792
64793
64794
64795
64796
64797
64798
64799
64800
64801
64802
64803
64804
64805
64806
64807
64808
64809
64810
64811
64812function integer clogb2;
64813input [31:0] value;
64814begin
64815   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
64816        value = value >> 1;
64817end
64818endfunction
64819
64820function integer clogb2_v1;
64821input [31:0] value;
64822reg   [31:0] i;
64823reg   [31:0] temp;
64824begin
64825   temp = 0;
64826   i    = 0;
64827   for (i = 0; temp < value; i = i + 1)
64828	temp = 1<<i;
64829   clogb2_v1 = i-1;
64830end
64831endfunction
64832
64833
64834
64835
64836
64837
64838
64839
64840lm32_cpu_medium_icache_debug
64841	#(
64842		.eba_reset(eba_reset),
64843    .sdb_address(sdb_address)
64844	) cpu (
64845
64846    .clk_i                 (clk_i),
64847
64848
64849
64850
64851    .rst_i                 (rst_i),
64852
64853
64854
64855    .interrupt             (interrupt),
64856
64857
64858
64859
64860
64861
64862
64863
64864
64865
64866
64867    .jtag_clk              (jtck),
64868    .jtag_update           (jtag_update),
64869    .jtag_reg_q            (jtag_reg_q),
64870    .jtag_reg_addr_q       (jtag_reg_addr_q),
64871
64872
64873
64874
64875
64876    .I_DAT_I               (I_DAT_I),
64877    .I_ACK_I               (I_ACK_I),
64878    .I_ERR_I               (I_ERR_I),
64879    .I_RTY_I               (I_RTY_I),
64880
64881
64882
64883    .D_DAT_I               (D_DAT_I),
64884    .D_ACK_I               (D_ACK_I),
64885    .D_ERR_I               (D_ERR_I),
64886    .D_RTY_I               (D_RTY_I),
64887
64888
64889
64890
64891
64892
64893
64894
64895
64896
64897
64898
64899
64900
64901    .jtag_reg_d            (jtag_reg_d),
64902    .jtag_reg_addr_d       (jtag_reg_addr_d),
64903
64904
64905
64906
64907
64908
64909
64910
64911
64912
64913
64914
64915    .I_DAT_O               (I_DAT_O),
64916    .I_ADR_O               (I_ADR_O),
64917    .I_CYC_O               (I_CYC_O),
64918    .I_SEL_O               (I_SEL_O),
64919    .I_STB_O               (I_STB_O),
64920    .I_WE_O                (I_WE_O),
64921    .I_CTI_O               (I_CTI_O),
64922    .I_LOCK_O              (I_LOCK_O),
64923    .I_BTE_O               (I_BTE_O),
64924
64925
64926
64927    .D_DAT_O               (D_DAT_O),
64928    .D_ADR_O               (D_ADR_O),
64929    .D_CYC_O               (D_CYC_O),
64930    .D_SEL_O               (D_SEL_O),
64931    .D_STB_O               (D_STB_O),
64932    .D_WE_O                (D_WE_O),
64933    .D_CTI_O               (D_CTI_O),
64934    .D_LOCK_O              (D_LOCK_O),
64935    .D_BTE_O               (D_BTE_O)
64936    );
64937
64938
64939
64940
64941jtag_cores jtag_cores (
64942
64943    .reg_d                 (jtag_reg_d),
64944    .reg_addr_d            (jtag_reg_addr_d),
64945
64946    .reg_update            (jtag_update),
64947    .reg_q                 (jtag_reg_q),
64948    .reg_addr_q            (jtag_reg_addr_q),
64949    .jtck                  (jtck),
64950    .jrstn                 (jrstn)
64951    );
64952
64953
64954
64955endmodule
64956
64957
64958
64959
64960
64961
64962
64963
64964
64965
64966
64967
64968
64969
64970
64971
64972
64973
64974
64975
64976
64977
64978
64979
64980
64981
64982
64983
64984
64985
64986
64987
64988
64989
64990
64991
64992
64993
64994
64995
64996
64997
64998
64999
65000
65001
65002
65003
65004
65005
65006
65007
65008
65009
65010
65011
65012
65013
65014
65015
65016
65017
65018
65019
65020
65021
65022
65023
65024
65025
65026
65027
65028
65029
65030
65031
65032
65033
65034
65035
65036
65037
65038
65039
65040
65041
65042
65043
65044
65045
65046
65047
65048
65049
65050
65051
65052
65053
65054
65055
65056
65057
65058
65059
65060
65061
65062
65063
65064
65065
65066
65067
65068
65069
65070
65071
65072
65073
65074
65075
65076
65077
65078
65079
65080
65081
65082
65083
65084
65085
65086
65087
65088
65089
65090
65091
65092
65093
65094
65095
65096
65097
65098
65099
65100
65101
65102
65103
65104
65105
65106
65107
65108
65109
65110
65111
65112
65113
65114
65115
65116
65117
65118
65119
65120
65121
65122
65123
65124
65125
65126
65127
65128
65129
65130
65131
65132
65133
65134
65135
65136
65137
65138
65139
65140
65141
65142
65143
65144
65145
65146
65147
65148
65149
65150
65151
65152
65153
65154
65155
65156
65157
65158
65159
65160
65161
65162
65163
65164
65165
65166
65167
65168
65169
65170
65171
65172
65173
65174
65175
65176
65177
65178
65179
65180
65181
65182
65183
65184
65185
65186
65187
65188
65189
65190
65191
65192
65193
65194
65195
65196
65197
65198
65199
65200
65201
65202
65203
65204
65205
65206
65207
65208
65209
65210
65211
65212
65213
65214
65215
65216
65217
65218
65219
65220
65221
65222
65223
65224
65225
65226
65227
65228
65229
65230
65231
65232
65233
65234
65235
65236
65237
65238
65239
65240
65241
65242
65243
65244
65245
65246
65247
65248
65249
65250
65251
65252
65253
65254
65255
65256
65257
65258
65259
65260
65261
65262
65263
65264
65265
65266
65267
65268
65269
65270
65271
65272
65273
65274
65275
65276
65277
65278
65279
65280
65281
65282
65283
65284
65285
65286
65287
65288
65289
65290
65291
65292
65293
65294
65295
65296
65297
65298
65299
65300
65301
65302
65303
65304
65305
65306
65307
65308
65309
65310
65311
65312
65313
65314
65315
65316
65317
65318
65319
65320
65321
65322
65323
65324
65325
65326
65327
65328
65329
65330
65331module lm32_mc_arithmetic_medium_icache_debug (
65332
65333    clk_i,
65334    rst_i,
65335    stall_d,
65336    kill_x,
65337
65338
65339
65340
65341
65342
65343
65344
65345
65346
65347
65348
65349
65350
65351
65352    operand_0_d,
65353    operand_1_d,
65354
65355    result_x,
65356
65357
65358
65359
65360    stall_request_x
65361    );
65362
65363
65364
65365
65366
65367input clk_i;
65368input rst_i;
65369input stall_d;
65370input kill_x;
65371
65372
65373
65374
65375
65376
65377
65378
65379
65380
65381
65382
65383
65384
65385
65386input [ (32-1):0] operand_0_d;
65387input [ (32-1):0] operand_1_d;
65388
65389
65390
65391
65392
65393output [ (32-1):0] result_x;
65394reg    [ (32-1):0] result_x;
65395
65396
65397
65398
65399
65400output stall_request_x;
65401wire   stall_request_x;
65402
65403
65404
65405
65406
65407reg [ (32-1):0] p;
65408reg [ (32-1):0] a;
65409reg [ (32-1):0] b;
65410
65411
65412
65413
65414
65415reg [ 2:0] state;
65416reg [5:0] cycles;
65417
65418
65419
65420
65421
65422
65423
65424
65425
65426
65427
65428
65429assign stall_request_x = state !=  3'b000;
65430
65431
65432
65433
65434
65435
65436
65437
65438
65439
65440
65441
65442
65443
65444
65445
65446
65447
65448always @(posedge clk_i  )
65449begin
65450    if (rst_i ==  1'b1)
65451    begin
65452        cycles <= {6{1'b0}};
65453        p <= { 32{1'b0}};
65454        a <= { 32{1'b0}};
65455        b <= { 32{1'b0}};
65456
65457
65458
65459
65460
65461
65462
65463
65464        result_x <= { 32{1'b0}};
65465        state <=  3'b000;
65466    end
65467    else
65468    begin
65469
65470
65471
65472
65473        case (state)
65474         3'b000:
65475        begin
65476            if (stall_d ==  1'b0)
65477            begin
65478                cycles <=  32;
65479                p <= 32'b0;
65480                a <= operand_0_d;
65481                b <= operand_1_d;
65482
65483
65484
65485
65486
65487
65488
65489
65490
65491
65492
65493
65494
65495
65496
65497
65498
65499
65500
65501
65502
65503
65504
65505
65506
65507
65508
65509
65510
65511
65512
65513            end
65514        end
65515
65516
65517
65518
65519
65520
65521
65522
65523
65524
65525
65526
65527
65528
65529
65530
65531
65532
65533
65534
65535
65536
65537
65538
65539
65540
65541
65542
65543
65544
65545
65546
65547
65548
65549
65550
65551
65552
65553
65554
65555
65556
65557
65558
65559
65560
65561
65562
65563
65564
65565
65566
65567
65568
65569
65570
65571
65572
65573
65574
65575
65576
65577
65578
65579
65580
65581
65582
65583
65584
65585
65586
65587
65588
65589
65590
65591
65592
65593        endcase
65594    end
65595end
65596
65597endmodule
65598
65599
65600
65601
65602
65603
65604
65605
65606
65607
65608
65609
65610
65611
65612
65613
65614
65615
65616
65617
65618
65619
65620
65621
65622
65623
65624
65625
65626
65627
65628
65629
65630
65631
65632
65633
65634
65635
65636
65637
65638
65639
65640
65641
65642
65643
65644
65645
65646
65647
65648
65649
65650
65651
65652
65653
65654
65655
65656
65657
65658
65659
65660
65661
65662
65663
65664
65665
65666
65667
65668
65669
65670
65671
65672
65673
65674
65675
65676
65677
65678
65679
65680
65681
65682
65683
65684
65685
65686
65687
65688
65689
65690
65691
65692
65693
65694
65695
65696
65697
65698
65699
65700
65701
65702
65703
65704
65705
65706
65707
65708
65709
65710
65711
65712
65713
65714
65715
65716
65717
65718
65719
65720
65721
65722
65723
65724
65725
65726
65727
65728
65729
65730
65731
65732
65733
65734
65735
65736
65737
65738
65739
65740
65741
65742
65743
65744
65745
65746
65747
65748
65749
65750
65751
65752
65753
65754
65755
65756
65757
65758
65759
65760
65761
65762
65763
65764
65765
65766
65767
65768
65769
65770
65771
65772
65773
65774
65775
65776
65777
65778
65779
65780
65781
65782
65783
65784
65785
65786
65787
65788
65789
65790
65791
65792
65793
65794
65795
65796
65797
65798
65799
65800
65801
65802
65803
65804
65805
65806
65807
65808
65809
65810
65811
65812
65813
65814
65815
65816
65817
65818
65819
65820
65821
65822
65823
65824
65825
65826
65827
65828
65829
65830
65831
65832
65833
65834
65835
65836
65837
65838
65839
65840
65841
65842
65843
65844
65845
65846
65847
65848
65849
65850
65851
65852
65853
65854
65855
65856
65857
65858
65859
65860
65861
65862
65863
65864
65865
65866
65867
65868
65869
65870
65871
65872
65873
65874
65875
65876
65877
65878
65879
65880
65881
65882
65883
65884
65885
65886
65887
65888
65889
65890
65891
65892
65893
65894
65895
65896
65897
65898
65899
65900
65901
65902
65903
65904
65905
65906
65907
65908
65909
65910
65911
65912
65913
65914
65915
65916
65917
65918
65919
65920
65921
65922
65923
65924
65925
65926
65927
65928
65929
65930
65931
65932
65933
65934
65935
65936
65937
65938
65939
65940
65941
65942
65943
65944
65945
65946
65947
65948
65949
65950
65951
65952
65953
65954
65955
65956
65957
65958
65959
65960
65961
65962
65963
65964
65965
65966
65967
65968
65969
65970
65971
65972
65973
65974
65975
65976
65977
65978
65979
65980
65981
65982
65983
65984
65985
65986
65987
65988
65989
65990
65991
65992
65993
65994module lm32_cpu_medium_icache_debug (
65995
65996    clk_i,
65997
65998
65999
66000
66001    rst_i,
66002
66003
66004
66005
66006
66007
66008
66009
66010
66011
66012
66013
66014
66015
66016
66017
66018
66019    interrupt,
66020
66021
66022
66023
66024
66025
66026
66027
66028
66029
66030
66031    jtag_clk,
66032    jtag_update,
66033    jtag_reg_q,
66034    jtag_reg_addr_q,
66035
66036
66037
66038
66039
66040    I_DAT_I,
66041    I_ACK_I,
66042    I_ERR_I,
66043    I_RTY_I,
66044
66045
66046
66047    D_DAT_I,
66048    D_ACK_I,
66049    D_ERR_I,
66050    D_RTY_I,
66051
66052
66053
66054
66055
66056
66057
66058
66059
66060
66061
66062
66063
66064
66065    jtag_reg_d,
66066    jtag_reg_addr_d,
66067
66068
66069
66070
66071
66072
66073
66074
66075
66076
66077
66078
66079    I_DAT_O,
66080    I_ADR_O,
66081    I_CYC_O,
66082    I_SEL_O,
66083    I_STB_O,
66084    I_WE_O,
66085    I_CTI_O,
66086    I_LOCK_O,
66087    I_BTE_O,
66088
66089
66090
66091
66092
66093
66094
66095
66096
66097
66098
66099
66100
66101
66102
66103
66104
66105    D_DAT_O,
66106    D_ADR_O,
66107    D_CYC_O,
66108    D_SEL_O,
66109    D_STB_O,
66110    D_WE_O,
66111    D_CTI_O,
66112    D_LOCK_O,
66113    D_BTE_O
66114
66115
66116    );
66117
66118
66119
66120
66121
66122parameter eba_reset =  32'h00000000;
66123
66124
66125parameter deba_reset =  32'h10000000;
66126
66127
66128parameter sdb_address =   32'h00000000;
66129
66130
66131
66132parameter icache_associativity =  1;
66133parameter icache_sets =  256;
66134parameter icache_bytes_per_line =  16;
66135parameter icache_base_address =  32'h0;
66136parameter icache_limit =  32'h7fffffff;
66137
66138
66139
66140
66141
66142
66143
66144
66145
66146
66147
66148
66149
66150
66151
66152
66153
66154parameter dcache_associativity = 1;
66155parameter dcache_sets = 512;
66156parameter dcache_bytes_per_line = 16;
66157parameter dcache_base_address = 0;
66158parameter dcache_limit = 0;
66159
66160
66161
66162
66163
66164parameter watchpoints =  32'h4;
66165
66166
66167
66168
66169
66170
66171
66172
66173parameter breakpoints = 0;
66174
66175
66176
66177
66178
66179parameter interrupts =  32;
66180
66181
66182
66183
66184
66185
66186
66187
66188
66189input clk_i;
66190
66191
66192
66193
66194input rst_i;
66195
66196
66197
66198input [ (32-1):0] interrupt;
66199
66200
66201
66202
66203
66204
66205
66206
66207
66208
66209
66210input jtag_clk;
66211input jtag_update;
66212input [ 7:0] jtag_reg_q;
66213input [2:0] jtag_reg_addr_q;
66214
66215
66216
66217
66218
66219input [ (32-1):0] I_DAT_I;
66220input I_ACK_I;
66221input I_ERR_I;
66222input I_RTY_I;
66223
66224
66225
66226input [ (32-1):0] D_DAT_I;
66227input D_ACK_I;
66228input D_ERR_I;
66229input D_RTY_I;
66230
66231
66232
66233
66234
66235
66236
66237
66238
66239
66240
66241
66242
66243
66244
66245
66246
66247
66248
66249
66250
66251
66252
66253
66254
66255
66256
66257
66258
66259
66260
66261
66262output [ 7:0] jtag_reg_d;
66263wire   [ 7:0] jtag_reg_d;
66264output [2:0] jtag_reg_addr_d;
66265wire   [2:0] jtag_reg_addr_d;
66266
66267
66268
66269
66270
66271
66272
66273
66274
66275
66276
66277
66278
66279
66280
66281
66282
66283output [ (32-1):0] I_DAT_O;
66284wire   [ (32-1):0] I_DAT_O;
66285output [ (32-1):0] I_ADR_O;
66286wire   [ (32-1):0] I_ADR_O;
66287output I_CYC_O;
66288wire   I_CYC_O;
66289output [ (4-1):0] I_SEL_O;
66290wire   [ (4-1):0] I_SEL_O;
66291output I_STB_O;
66292wire   I_STB_O;
66293output I_WE_O;
66294wire   I_WE_O;
66295output [ (3-1):0] I_CTI_O;
66296wire   [ (3-1):0] I_CTI_O;
66297output I_LOCK_O;
66298wire   I_LOCK_O;
66299output [ (2-1):0] I_BTE_O;
66300wire   [ (2-1):0] I_BTE_O;
66301
66302
66303
66304output [ (32-1):0] D_DAT_O;
66305wire   [ (32-1):0] D_DAT_O;
66306output [ (32-1):0] D_ADR_O;
66307wire   [ (32-1):0] D_ADR_O;
66308output D_CYC_O;
66309wire   D_CYC_O;
66310output [ (4-1):0] D_SEL_O;
66311wire   [ (4-1):0] D_SEL_O;
66312output D_STB_O;
66313wire   D_STB_O;
66314output D_WE_O;
66315wire   D_WE_O;
66316output [ (3-1):0] D_CTI_O;
66317wire   [ (3-1):0] D_CTI_O;
66318output D_LOCK_O;
66319wire   D_LOCK_O;
66320output [ (2-1):0] D_BTE_O;
66321wire   [ (2-1):0] D_BTE_O;
66322
66323
66324
66325
66326
66327
66328
66329
66330
66331
66332
66333
66334
66335
66336
66337
66338
66339
66340reg valid_a;
66341
66342
66343reg valid_f;
66344reg valid_d;
66345reg valid_x;
66346reg valid_m;
66347reg valid_w;
66348
66349wire q_x;
66350wire [ (32-1):0] immediate_d;
66351wire load_d;
66352reg load_x;
66353reg load_m;
66354wire load_q_x;
66355wire store_q_x;
66356wire q_m;
66357wire load_q_m;
66358wire store_q_m;
66359wire store_d;
66360reg store_x;
66361reg store_m;
66362wire [ 1:0] size_d;
66363reg [ 1:0] size_x;
66364wire branch_d;
66365wire branch_predict_d;
66366wire branch_predict_taken_d;
66367wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_predict_address_d;
66368wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_target_d;
66369wire bi_unconditional;
66370wire bi_conditional;
66371reg branch_x;
66372reg branch_predict_x;
66373reg branch_predict_taken_x;
66374reg branch_m;
66375reg branch_predict_m;
66376reg branch_predict_taken_m;
66377wire branch_mispredict_taken_m;
66378wire branch_flushX_m;
66379wire branch_reg_d;
66380wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_offset_d;
66381reg [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_target_x;
66382reg [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_target_m;
66383wire [ 0:0] d_result_sel_0_d;
66384wire [ 1:0] d_result_sel_1_d;
66385
66386wire x_result_sel_csr_d;
66387reg x_result_sel_csr_x;
66388
66389
66390
66391
66392
66393
66394
66395
66396
66397
66398
66399
66400
66401wire x_result_sel_sext_d;
66402reg x_result_sel_sext_x;
66403
66404
66405wire x_result_sel_logic_d;
66406
66407
66408
66409
66410
66411wire x_result_sel_add_d;
66412reg x_result_sel_add_x;
66413wire m_result_sel_compare_d;
66414reg m_result_sel_compare_x;
66415reg m_result_sel_compare_m;
66416
66417
66418wire m_result_sel_shift_d;
66419reg m_result_sel_shift_x;
66420reg m_result_sel_shift_m;
66421
66422
66423wire w_result_sel_load_d;
66424reg w_result_sel_load_x;
66425reg w_result_sel_load_m;
66426reg w_result_sel_load_w;
66427
66428
66429wire w_result_sel_mul_d;
66430reg w_result_sel_mul_x;
66431reg w_result_sel_mul_m;
66432reg w_result_sel_mul_w;
66433
66434
66435wire x_bypass_enable_d;
66436reg x_bypass_enable_x;
66437wire m_bypass_enable_d;
66438reg m_bypass_enable_x;
66439reg m_bypass_enable_m;
66440wire sign_extend_d;
66441reg sign_extend_x;
66442wire write_enable_d;
66443reg write_enable_x;
66444wire write_enable_q_x;
66445reg write_enable_m;
66446wire write_enable_q_m;
66447reg write_enable_w;
66448wire write_enable_q_w;
66449wire read_enable_0_d;
66450wire [ (5-1):0] read_idx_0_d;
66451wire read_enable_1_d;
66452wire [ (5-1):0] read_idx_1_d;
66453wire [ (5-1):0] write_idx_d;
66454reg [ (5-1):0] write_idx_x;
66455reg [ (5-1):0] write_idx_m;
66456reg [ (5-1):0] write_idx_w;
66457wire [ (5-1):0] csr_d;
66458reg  [ (5-1):0] csr_x;
66459wire [ (3-1):0] condition_d;
66460reg [ (3-1):0] condition_x;
66461
66462
66463wire break_d;
66464reg break_x;
66465
66466
66467wire scall_d;
66468reg scall_x;
66469wire eret_d;
66470reg eret_x;
66471wire eret_q_x;
66472
66473
66474
66475
66476
66477
66478
66479wire bret_d;
66480reg bret_x;
66481wire bret_q_x;
66482
66483
66484
66485
66486
66487
66488
66489wire csr_write_enable_d;
66490reg csr_write_enable_x;
66491wire csr_write_enable_q_x;
66492
66493
66494
66495
66496
66497
66498
66499
66500
66501
66502
66503
66504
66505reg [ (32-1):0] d_result_0;
66506reg [ (32-1):0] d_result_1;
66507reg [ (32-1):0] x_result;
66508reg [ (32-1):0] m_result;
66509reg [ (32-1):0] w_result;
66510
66511reg [ (32-1):0] operand_0_x;
66512reg [ (32-1):0] operand_1_x;
66513reg [ (32-1):0] store_operand_x;
66514reg [ (32-1):0] operand_m;
66515reg [ (32-1):0] operand_w;
66516
66517
66518
66519
66520reg [ (32-1):0] reg_data_live_0;
66521reg [ (32-1):0] reg_data_live_1;
66522reg use_buf;
66523reg [ (32-1):0] reg_data_buf_0;
66524reg [ (32-1):0] reg_data_buf_1;
66525
66526
66527
66528
66529
66530
66531
66532
66533wire [ (32-1):0] reg_data_0;
66534wire [ (32-1):0] reg_data_1;
66535reg [ (32-1):0] bypass_data_0;
66536reg [ (32-1):0] bypass_data_1;
66537wire reg_write_enable_q_w;
66538
66539reg interlock;
66540
66541wire stall_a;
66542wire stall_f;
66543wire stall_d;
66544wire stall_x;
66545wire stall_m;
66546
66547
66548wire adder_op_d;
66549reg adder_op_x;
66550reg adder_op_x_n;
66551wire [ (32-1):0] adder_result_x;
66552wire adder_overflow_x;
66553wire adder_carry_n_x;
66554
66555
66556wire [ 3:0] logic_op_d;
66557reg [ 3:0] logic_op_x;
66558wire [ (32-1):0] logic_result_x;
66559
66560
66561
66562
66563wire [ (32-1):0] sextb_result_x;
66564wire [ (32-1):0] sexth_result_x;
66565wire [ (32-1):0] sext_result_x;
66566
66567
66568
66569
66570
66571
66572
66573
66574
66575
66576
66577wire direction_d;
66578reg direction_x;
66579wire [ (32-1):0] shifter_result_m;
66580
66581
66582
66583
66584
66585
66586
66587
66588
66589
66590
66591
66592
66593
66594
66595
66596
66597wire [ (32-1):0] multiplier_result_w;
66598
66599
66600
66601
66602
66603
66604
66605
66606
66607
66608
66609
66610
66611
66612
66613
66614
66615
66616
66617
66618
66619
66620
66621
66622
66623
66624
66625
66626wire [ (32-1):0] interrupt_csr_read_data_x;
66627
66628
66629wire [ (32-1):0] cfg;
66630wire [ (32-1):0] cfg2;
66631
66632
66633
66634
66635reg [ (32-1):0] csr_read_data_x;
66636
66637
66638wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_f;
66639wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_d;
66640wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_x;
66641wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_m;
66642wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_w;
66643
66644
66645
66646
66647
66648
66649wire [ (32-1):0] instruction_f;
66650
66651
66652
66653
66654wire [ (32-1):0] instruction_d;
66655
66656
66657wire iflush;
66658wire icache_stall_request;
66659wire icache_restart_request;
66660wire icache_refill_request;
66661wire icache_refilling;
66662
66663
66664
66665
66666
66667
66668
66669
66670
66671
66672
66673
66674
66675wire [ (32-1):0] load_data_w;
66676wire stall_wb_load;
66677
66678
66679
66680
66681
66682
66683wire [ (32-1):0] jtx_csr_read_data;
66684wire [ (32-1):0] jrx_csr_read_data;
66685
66686
66687
66688
66689wire jtag_csr_write_enable;
66690wire [ (32-1):0] jtag_csr_write_data;
66691wire [ (5-1):0] jtag_csr;
66692wire jtag_read_enable;
66693wire [ 7:0] jtag_read_data;
66694wire jtag_write_enable;
66695wire [ 7:0] jtag_write_data;
66696wire [ (32-1):0] jtag_address;
66697wire jtag_access_complete;
66698
66699
66700
66701
66702wire jtag_break;
66703
66704
66705
66706
66707
66708
66709wire raw_x_0;
66710wire raw_x_1;
66711wire raw_m_0;
66712wire raw_m_1;
66713wire raw_w_0;
66714wire raw_w_1;
66715
66716
66717wire cmp_zero;
66718wire cmp_negative;
66719wire cmp_overflow;
66720wire cmp_carry_n;
66721reg condition_met_x;
66722reg condition_met_m;
66723
66724
66725
66726
66727wire branch_taken_m;
66728
66729wire kill_f;
66730wire kill_d;
66731wire kill_x;
66732wire kill_m;
66733wire kill_w;
66734
66735reg [ (clogb2(32'h7fffffff-32'h0)-2)+2-1:8] eba;
66736
66737
66738reg [ (clogb2(32'h7fffffff-32'h0)-2)+2-1:8] deba;
66739
66740
66741reg [ (3-1):0] eid_x;
66742
66743
66744
66745
66746
66747
66748
66749
66750
66751
66752wire dc_ss;
66753
66754
66755wire dc_re;
66756wire bp_match;
66757wire wp_match;
66758wire exception_x;
66759reg exception_m;
66760wire debug_exception_x;
66761reg debug_exception_m;
66762reg debug_exception_w;
66763wire debug_exception_q_w;
66764wire non_debug_exception_x;
66765reg non_debug_exception_m;
66766reg non_debug_exception_w;
66767wire non_debug_exception_q_w;
66768
66769
66770
66771
66772
66773
66774
66775
66776
66777
66778
66779
66780wire reset_exception;
66781
66782
66783
66784
66785
66786
66787
66788
66789
66790
66791wire interrupt_exception;
66792
66793
66794
66795
66796wire breakpoint_exception;
66797wire watchpoint_exception;
66798
66799
66800
66801
66802
66803
66804
66805
66806
66807
66808
66809
66810
66811wire system_call_exception;
66812
66813
66814
66815
66816
66817
66818
66819
66820
66821
66822
66823
66824
66825
66826
66827
66828
66829
66830
66831
66832
66833
66834
66835
66836
66837
66838
66839
66840
66841
66842
66843
66844
66845
66846
66847
66848
66849
66850
66851
66852
66853
66854
66855
66856
66857
66858
66859
66860
66861
66862
66863
66864
66865
66866
66867
66868
66869
66870
66871
66872
66873
66874
66875
66876function integer clogb2;
66877input [31:0] value;
66878begin
66879   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
66880        value = value >> 1;
66881end
66882endfunction
66883
66884function integer clogb2_v1;
66885input [31:0] value;
66886reg   [31:0] i;
66887reg   [31:0] temp;
66888begin
66889   temp = 0;
66890   i    = 0;
66891   for (i = 0; temp < value; i = i + 1)
66892	temp = 1<<i;
66893   clogb2_v1 = i-1;
66894end
66895endfunction
66896
66897
66898
66899
66900
66901
66902
66903
66904
66905lm32_instruction_unit_medium_icache_debug #(
66906    .eba_reset              (eba_reset),
66907    .associativity          (icache_associativity),
66908    .sets                   (icache_sets),
66909    .bytes_per_line         (icache_bytes_per_line),
66910    .base_address           (icache_base_address),
66911    .limit                  (icache_limit)
66912  ) instruction_unit (
66913
66914    .clk_i                  (clk_i),
66915    .rst_i                  (rst_i),
66916
66917    .stall_a                (stall_a),
66918    .stall_f                (stall_f),
66919    .stall_d                (stall_d),
66920    .stall_x                (stall_x),
66921    .stall_m                (stall_m),
66922    .valid_f                (valid_f),
66923    .valid_d                (valid_d),
66924    .kill_f                 (kill_f),
66925    .branch_predict_taken_d (branch_predict_taken_d),
66926    .branch_predict_address_d (branch_predict_address_d),
66927
66928
66929
66930
66931
66932    .exception_m            (exception_m),
66933    .branch_taken_m         (branch_taken_m),
66934    .branch_mispredict_taken_m (branch_mispredict_taken_m),
66935    .branch_target_m        (branch_target_m),
66936
66937
66938    .iflush                 (iflush),
66939
66940
66941
66942
66943
66944
66945
66946
66947
66948
66949
66950    .i_dat_i                (I_DAT_I),
66951    .i_ack_i                (I_ACK_I),
66952    .i_err_i                (I_ERR_I),
66953    .i_rty_i                (I_RTY_I),
66954
66955
66956
66957
66958    .jtag_read_enable       (jtag_read_enable),
66959    .jtag_write_enable      (jtag_write_enable),
66960    .jtag_write_data        (jtag_write_data),
66961    .jtag_address           (jtag_address),
66962
66963
66964
66965
66966    .pc_f                   (pc_f),
66967    .pc_d                   (pc_d),
66968    .pc_x                   (pc_x),
66969    .pc_m                   (pc_m),
66970    .pc_w                   (pc_w),
66971
66972
66973    .icache_stall_request   (icache_stall_request),
66974    .icache_restart_request (icache_restart_request),
66975    .icache_refill_request  (icache_refill_request),
66976    .icache_refilling       (icache_refilling),
66977
66978
66979
66980
66981
66982    .i_dat_o                (I_DAT_O),
66983    .i_adr_o                (I_ADR_O),
66984    .i_cyc_o                (I_CYC_O),
66985    .i_sel_o                (I_SEL_O),
66986    .i_stb_o                (I_STB_O),
66987    .i_we_o                 (I_WE_O),
66988    .i_cti_o                (I_CTI_O),
66989    .i_lock_o               (I_LOCK_O),
66990    .i_bte_o                (I_BTE_O),
66991
66992
66993
66994
66995
66996
66997
66998
66999
67000
67001
67002
67003    .jtag_read_data         (jtag_read_data),
67004    .jtag_access_complete   (jtag_access_complete),
67005
67006
67007
67008
67009
67010
67011
67012
67013    .instruction_f          (instruction_f),
67014
67015
67016
67017
67018    .instruction_d          (instruction_d)
67019
67020
67021
67022    );
67023
67024
67025lm32_decoder_medium_icache_debug decoder (
67026
67027    .instruction            (instruction_d),
67028
67029    .d_result_sel_0         (d_result_sel_0_d),
67030    .d_result_sel_1         (d_result_sel_1_d),
67031    .x_result_sel_csr       (x_result_sel_csr_d),
67032
67033
67034
67035
67036
67037
67038
67039
67040
67041
67042    .x_result_sel_sext      (x_result_sel_sext_d),
67043
67044
67045    .x_result_sel_logic     (x_result_sel_logic_d),
67046
67047
67048
67049
67050    .x_result_sel_add       (x_result_sel_add_d),
67051    .m_result_sel_compare   (m_result_sel_compare_d),
67052
67053
67054    .m_result_sel_shift     (m_result_sel_shift_d),
67055
67056
67057    .w_result_sel_load      (w_result_sel_load_d),
67058
67059
67060    .w_result_sel_mul       (w_result_sel_mul_d),
67061
67062
67063    .x_bypass_enable        (x_bypass_enable_d),
67064    .m_bypass_enable        (m_bypass_enable_d),
67065    .read_enable_0          (read_enable_0_d),
67066    .read_idx_0             (read_idx_0_d),
67067    .read_enable_1          (read_enable_1_d),
67068    .read_idx_1             (read_idx_1_d),
67069    .write_enable           (write_enable_d),
67070    .write_idx              (write_idx_d),
67071    .immediate              (immediate_d),
67072    .branch_offset          (branch_offset_d),
67073    .load                   (load_d),
67074    .store                  (store_d),
67075    .size                   (size_d),
67076    .sign_extend            (sign_extend_d),
67077    .adder_op               (adder_op_d),
67078    .logic_op               (logic_op_d),
67079
67080
67081    .direction              (direction_d),
67082
67083
67084
67085
67086
67087
67088
67089
67090
67091
67092
67093
67094
67095
67096
67097
67098    .branch                 (branch_d),
67099    .bi_unconditional       (bi_unconditional),
67100    .bi_conditional         (bi_conditional),
67101    .branch_reg             (branch_reg_d),
67102    .condition              (condition_d),
67103
67104
67105    .break_opcode           (break_d),
67106
67107
67108    .scall                  (scall_d),
67109    .eret                   (eret_d),
67110
67111
67112    .bret                   (bret_d),
67113
67114
67115
67116
67117
67118
67119    .csr_write_enable       (csr_write_enable_d)
67120    );
67121
67122
67123lm32_load_store_unit_medium_icache_debug #(
67124    .associativity          (dcache_associativity),
67125    .sets                   (dcache_sets),
67126    .bytes_per_line         (dcache_bytes_per_line),
67127    .base_address           (dcache_base_address),
67128    .limit                  (dcache_limit)
67129  ) load_store_unit (
67130
67131    .clk_i                  (clk_i),
67132    .rst_i                  (rst_i),
67133
67134    .stall_a                (stall_a),
67135    .stall_x                (stall_x),
67136    .stall_m                (stall_m),
67137    .kill_x                 (kill_x),
67138    .kill_m                 (kill_m),
67139    .exception_m            (exception_m),
67140    .store_operand_x        (store_operand_x),
67141    .load_store_address_x   (adder_result_x),
67142    .load_store_address_m   (operand_m),
67143    .load_store_address_w   (operand_w[1:0]),
67144    .load_x                 (load_x),
67145    .store_x                (store_x),
67146    .load_q_x               (load_q_x),
67147    .store_q_x              (store_q_x),
67148    .load_q_m               (load_q_m),
67149    .store_q_m              (store_q_m),
67150    .sign_extend_x          (sign_extend_x),
67151    .size_x                 (size_x),
67152
67153
67154
67155
67156
67157
67158
67159
67160
67161
67162
67163
67164
67165
67166
67167
67168
67169    .d_dat_i                (D_DAT_I),
67170    .d_ack_i                (D_ACK_I),
67171    .d_err_i                (D_ERR_I),
67172    .d_rty_i                (D_RTY_I),
67173
67174
67175
67176
67177
67178
67179
67180
67181
67182    .load_data_w            (load_data_w),
67183    .stall_wb_load          (stall_wb_load),
67184
67185    .d_dat_o                (D_DAT_O),
67186    .d_adr_o                (D_ADR_O),
67187    .d_cyc_o                (D_CYC_O),
67188    .d_sel_o                (D_SEL_O),
67189    .d_stb_o                (D_STB_O),
67190    .d_we_o                 (D_WE_O),
67191    .d_cti_o                (D_CTI_O),
67192    .d_lock_o               (D_LOCK_O),
67193    .d_bte_o                (D_BTE_O)
67194    );
67195
67196
67197lm32_adder adder (
67198
67199    .adder_op_x             (adder_op_x),
67200    .adder_op_x_n           (adder_op_x_n),
67201    .operand_0_x            (operand_0_x),
67202    .operand_1_x            (operand_1_x),
67203
67204    .adder_result_x         (adder_result_x),
67205    .adder_carry_n_x        (adder_carry_n_x),
67206    .adder_overflow_x       (adder_overflow_x)
67207    );
67208
67209
67210lm32_logic_op logic_op (
67211
67212    .logic_op_x             (logic_op_x),
67213    .operand_0_x            (operand_0_x),
67214
67215    .operand_1_x            (operand_1_x),
67216
67217    .logic_result_x         (logic_result_x)
67218    );
67219
67220
67221
67222
67223lm32_shifter shifter (
67224
67225    .clk_i                  (clk_i),
67226    .rst_i                  (rst_i),
67227    .stall_x                (stall_x),
67228    .direction_x            (direction_x),
67229    .sign_extend_x          (sign_extend_x),
67230    .operand_0_x            (operand_0_x),
67231    .operand_1_x            (operand_1_x),
67232
67233    .shifter_result_m       (shifter_result_m)
67234    );
67235
67236
67237
67238
67239
67240
67241lm32_multiplier multiplier (
67242
67243    .clk_i                  (clk_i),
67244    .rst_i                  (rst_i),
67245    .stall_x                (stall_x),
67246    .stall_m                (stall_m),
67247    .operand_0              (d_result_0),
67248    .operand_1              (d_result_1),
67249
67250    .result                 (multiplier_result_w)
67251    );
67252
67253
67254
67255
67256
67257
67258
67259
67260
67261
67262
67263
67264
67265
67266
67267
67268
67269
67270
67271
67272
67273
67274
67275
67276
67277
67278
67279
67280
67281
67282
67283
67284
67285
67286
67287
67288
67289
67290lm32_interrupt_medium_icache_debug interrupt_unit (
67291
67292    .clk_i                  (clk_i),
67293    .rst_i                  (rst_i),
67294
67295    .interrupt              (interrupt),
67296
67297    .stall_x                (stall_x),
67298
67299
67300    .non_debug_exception    (non_debug_exception_q_w),
67301    .debug_exception        (debug_exception_q_w),
67302
67303
67304
67305
67306    .eret_q_x               (eret_q_x),
67307
67308
67309    .bret_q_x               (bret_q_x),
67310
67311
67312    .csr                    (csr_x),
67313    .csr_write_data         (operand_1_x),
67314    .csr_write_enable       (csr_write_enable_q_x),
67315
67316    .interrupt_exception    (interrupt_exception),
67317
67318    .csr_read_data          (interrupt_csr_read_data_x)
67319    );
67320
67321
67322
67323
67324
67325
67326
67327
67328
67329
67330
67331
67332
67333
67334
67335lm32_jtag_medium_icache_debug jtag (
67336
67337    .clk_i                  (clk_i),
67338    .rst_i                  (rst_i),
67339
67340    .jtag_clk               (jtag_clk),
67341    .jtag_update            (jtag_update),
67342    .jtag_reg_q             (jtag_reg_q),
67343    .jtag_reg_addr_q        (jtag_reg_addr_q),
67344
67345
67346
67347    .csr                    (csr_x),
67348    .csr_write_data         (operand_1_x),
67349    .csr_write_enable       (csr_write_enable_q_x),
67350    .stall_x                (stall_x),
67351
67352
67353
67354
67355    .jtag_read_data         (jtag_read_data),
67356    .jtag_access_complete   (jtag_access_complete),
67357
67358
67359
67360
67361    .exception_q_w          (debug_exception_q_w || non_debug_exception_q_w),
67362
67363
67364
67365
67366
67367
67368    .jtx_csr_read_data      (jtx_csr_read_data),
67369    .jrx_csr_read_data      (jrx_csr_read_data),
67370
67371
67372
67373
67374    .jtag_csr_write_enable  (jtag_csr_write_enable),
67375    .jtag_csr_write_data    (jtag_csr_write_data),
67376    .jtag_csr               (jtag_csr),
67377    .jtag_read_enable       (jtag_read_enable),
67378    .jtag_write_enable      (jtag_write_enable),
67379    .jtag_write_data        (jtag_write_data),
67380    .jtag_address           (jtag_address),
67381
67382
67383
67384
67385    .jtag_break             (jtag_break),
67386    .jtag_reset             (reset_exception),
67387
67388
67389
67390    .jtag_reg_d             (jtag_reg_d),
67391    .jtag_reg_addr_d        (jtag_reg_addr_d)
67392    );
67393
67394
67395
67396
67397
67398
67399lm32_debug_medium_icache_debug #(
67400    .breakpoints            (breakpoints),
67401    .watchpoints            (watchpoints)
67402  ) hw_debug (
67403
67404    .clk_i                  (clk_i),
67405    .rst_i                  (rst_i),
67406    .pc_x                   (pc_x),
67407    .load_x                 (load_x),
67408    .store_x                (store_x),
67409    .load_store_address_x   (adder_result_x),
67410    .csr_write_enable_x     (csr_write_enable_q_x),
67411    .csr_write_data         (operand_1_x),
67412    .csr_x                  (csr_x),
67413
67414
67415
67416
67417    .jtag_csr_write_enable  (jtag_csr_write_enable),
67418    .jtag_csr_write_data    (jtag_csr_write_data),
67419    .jtag_csr               (jtag_csr),
67420
67421
67422
67423
67424
67425
67426
67427
67428
67429
67430
67431
67432    .eret_q_x               (eret_q_x),
67433    .bret_q_x               (bret_q_x),
67434    .stall_x                (stall_x),
67435    .exception_x            (exception_x),
67436    .q_x                    (q_x),
67437
67438
67439
67440
67441
67442
67443
67444
67445
67446    .dc_ss                  (dc_ss),
67447
67448
67449    .dc_re                  (dc_re),
67450    .bp_match               (bp_match),
67451    .wp_match               (wp_match)
67452    );
67453
67454
67455
67456
67457
67458
67459
67460
67461
67462
67463
67464
67465
67466
67467
67468
67469
67470
67471   wire [31:0] regfile_data_0, regfile_data_1;
67472   reg [31:0]  w_result_d;
67473   reg 	       regfile_raw_0, regfile_raw_0_nxt;
67474   reg 	       regfile_raw_1, regfile_raw_1_nxt;
67475
67476
67477
67478
67479
67480   always @(reg_write_enable_q_w or write_idx_w or instruction_f)
67481     begin
67482	if (reg_write_enable_q_w
67483	    && (write_idx_w == instruction_f[25:21]))
67484	  regfile_raw_0_nxt = 1'b1;
67485	else
67486	  regfile_raw_0_nxt = 1'b0;
67487
67488	if (reg_write_enable_q_w
67489	    && (write_idx_w == instruction_f[20:16]))
67490	  regfile_raw_1_nxt = 1'b1;
67491	else
67492	  regfile_raw_1_nxt = 1'b0;
67493     end
67494
67495
67496
67497
67498
67499
67500   always @(regfile_raw_0 or w_result_d or regfile_data_0)
67501     if (regfile_raw_0)
67502       reg_data_live_0 = w_result_d;
67503     else
67504       reg_data_live_0 = regfile_data_0;
67505
67506
67507
67508
67509
67510
67511   always @(regfile_raw_1 or w_result_d or regfile_data_1)
67512     if (regfile_raw_1)
67513       reg_data_live_1 = w_result_d;
67514     else
67515       reg_data_live_1 = regfile_data_1;
67516
67517
67518
67519
67520   always @(posedge clk_i  )
67521     if (rst_i ==  1'b1)
67522       begin
67523	  regfile_raw_0 <= 1'b0;
67524	  regfile_raw_1 <= 1'b0;
67525	  w_result_d <= 32'b0;
67526       end
67527     else
67528       begin
67529	  regfile_raw_0 <= regfile_raw_0_nxt;
67530	  regfile_raw_1 <= regfile_raw_1_nxt;
67531	  w_result_d <= w_result;
67532       end
67533
67534
67535
67536
67537
67538   lm32_dp_ram
67539     #(
67540
67541       .addr_depth(1<<5),
67542       .addr_width(5),
67543       .data_width(32)
67544       )
67545   reg_0
67546     (
67547
67548      .clk_i	(clk_i),
67549      .rst_i	(rst_i),
67550      .we_i	(reg_write_enable_q_w),
67551      .wdata_i	(w_result),
67552      .waddr_i	(write_idx_w),
67553      .raddr_i	(instruction_f[25:21]),
67554
67555      .rdata_o	(regfile_data_0)
67556      );
67557
67558   lm32_dp_ram
67559     #(
67560       .addr_depth(1<<5),
67561       .addr_width(5),
67562       .data_width(32)
67563       )
67564   reg_1
67565     (
67566
67567      .clk_i	(clk_i),
67568      .rst_i	(rst_i),
67569      .we_i	(reg_write_enable_q_w),
67570      .wdata_i	(w_result),
67571      .waddr_i	(write_idx_w),
67572      .raddr_i	(instruction_f[20:16]),
67573
67574      .rdata_o	(regfile_data_1)
67575      );
67576
67577
67578
67579
67580
67581
67582
67583
67584
67585
67586
67587
67588
67589
67590
67591
67592
67593
67594
67595
67596
67597
67598
67599
67600
67601
67602
67603
67604
67605
67606
67607
67608
67609
67610
67611
67612
67613
67614
67615
67616
67617
67618
67619
67620
67621
67622
67623
67624
67625
67626
67627
67628
67629
67630
67631
67632
67633
67634
67635
67636
67637
67638
67639
67640
67641
67642
67643
67644
67645
67646
67647
67648
67649
67650
67651
67652
67653
67654
67655
67656assign reg_data_0 = use_buf ? reg_data_buf_0 : reg_data_live_0;
67657assign reg_data_1 = use_buf ? reg_data_buf_1 : reg_data_live_1;
67658
67659
67660
67661
67662
67663
67664
67665
67666
67667
67668
67669
67670assign raw_x_0 = (write_idx_x == read_idx_0_d) && (write_enable_q_x ==  1'b1);
67671assign raw_m_0 = (write_idx_m == read_idx_0_d) && (write_enable_q_m ==  1'b1);
67672assign raw_w_0 = (write_idx_w == read_idx_0_d) && (write_enable_q_w ==  1'b1);
67673assign raw_x_1 = (write_idx_x == read_idx_1_d) && (write_enable_q_x ==  1'b1);
67674assign raw_m_1 = (write_idx_m == read_idx_1_d) && (write_enable_q_m ==  1'b1);
67675assign raw_w_1 = (write_idx_w == read_idx_1_d) && (write_enable_q_w ==  1'b1);
67676
67677
67678always @(*)
67679begin
67680    if (   (   (x_bypass_enable_x ==  1'b0)
67681            && (   ((read_enable_0_d ==  1'b1) && (raw_x_0 ==  1'b1))
67682                || ((read_enable_1_d ==  1'b1) && (raw_x_1 ==  1'b1))
67683               )
67684           )
67685        || (   (m_bypass_enable_m ==  1'b0)
67686            && (   ((read_enable_0_d ==  1'b1) && (raw_m_0 ==  1'b1))
67687                || ((read_enable_1_d ==  1'b1) && (raw_m_1 ==  1'b1))
67688               )
67689           )
67690       )
67691        interlock =  1'b1;
67692    else
67693        interlock =  1'b0;
67694end
67695
67696
67697always @(*)
67698begin
67699    if (raw_x_0 ==  1'b1)
67700        bypass_data_0 = x_result;
67701    else if (raw_m_0 ==  1'b1)
67702        bypass_data_0 = m_result;
67703    else if (raw_w_0 ==  1'b1)
67704        bypass_data_0 = w_result;
67705    else
67706        bypass_data_0 = reg_data_0;
67707end
67708
67709
67710always @(*)
67711begin
67712    if (raw_x_1 ==  1'b1)
67713        bypass_data_1 = x_result;
67714    else if (raw_m_1 ==  1'b1)
67715        bypass_data_1 = m_result;
67716    else if (raw_w_1 ==  1'b1)
67717        bypass_data_1 = w_result;
67718    else
67719        bypass_data_1 = reg_data_1;
67720end
67721
67722
67723
67724
67725
67726
67727
67728   assign branch_predict_d = bi_unconditional | bi_conditional;
67729   assign branch_predict_taken_d = bi_unconditional ? 1'b1 : (bi_conditional ? instruction_d[15] : 1'b0);
67730
67731
67732   assign branch_target_d = pc_d + branch_offset_d;
67733
67734
67735
67736
67737   assign branch_predict_address_d = branch_predict_taken_d ? branch_target_d : pc_f;
67738
67739
67740always @(*)
67741begin
67742    d_result_0 = d_result_sel_0_d[0] ? {pc_f, 2'b00} : bypass_data_0;
67743    case (d_result_sel_1_d)
67744     2'b00:      d_result_1 = { 32{1'b0}};
67745     2'b01:     d_result_1 = bypass_data_1;
67746     2'b10: d_result_1 = immediate_d;
67747    default:                        d_result_1 = { 32{1'bx}};
67748    endcase
67749end
67750
67751
67752
67753
67754
67755
67756
67757
67758
67759
67760
67761assign sextb_result_x = {{24{operand_0_x[7]}}, operand_0_x[7:0]};
67762assign sexth_result_x = {{16{operand_0_x[15]}}, operand_0_x[15:0]};
67763assign sext_result_x = size_x ==  2'b00 ? sextb_result_x : sexth_result_x;
67764
67765
67766
67767
67768
67769
67770
67771
67772
67773
67774assign cmp_zero = operand_0_x == operand_1_x;
67775assign cmp_negative = adder_result_x[ 32-1];
67776assign cmp_overflow = adder_overflow_x;
67777assign cmp_carry_n = adder_carry_n_x;
67778always @(*)
67779begin
67780    case (condition_x)
67781     3'b000:   condition_met_x =  1'b1;
67782     3'b110:   condition_met_x =  1'b1;
67783     3'b001:    condition_met_x = cmp_zero;
67784     3'b111:   condition_met_x = !cmp_zero;
67785     3'b010:    condition_met_x = !cmp_zero && (cmp_negative == cmp_overflow);
67786     3'b101:   condition_met_x = cmp_carry_n && !cmp_zero;
67787     3'b011:   condition_met_x = cmp_negative == cmp_overflow;
67788     3'b100:  condition_met_x = cmp_carry_n;
67789    default:              condition_met_x = 1'bx;
67790    endcase
67791end
67792
67793
67794always @(*)
67795begin
67796    x_result =   x_result_sel_add_x ? adder_result_x
67797               : x_result_sel_csr_x ? csr_read_data_x
67798
67799
67800               : x_result_sel_sext_x ? sext_result_x
67801
67802
67803
67804
67805
67806
67807
67808
67809
67810
67811
67812
67813
67814
67815               : logic_result_x;
67816end
67817
67818
67819always @(*)
67820begin
67821    m_result =   m_result_sel_compare_m ? {{ 32-1{1'b0}}, condition_met_m}
67822
67823
67824               : m_result_sel_shift_m ? shifter_result_m
67825
67826
67827               : operand_m;
67828end
67829
67830
67831always @(*)
67832begin
67833    w_result =    w_result_sel_load_w ? load_data_w
67834
67835
67836                : w_result_sel_mul_w ? multiplier_result_w
67837
67838
67839                : operand_w;
67840end
67841
67842
67843
67844
67845
67846
67847
67848
67849
67850
67851
67852
67853
67854assign branch_taken_m =      (stall_m ==  1'b0)
67855                          && (   (   (branch_m ==  1'b1)
67856                                  && (valid_m ==  1'b1)
67857                                  && (   (   (condition_met_m ==  1'b1)
67858					  && (branch_predict_taken_m ==  1'b0)
67859					 )
67860				      || (   (condition_met_m ==  1'b0)
67861					  && (branch_predict_m ==  1'b1)
67862					  && (branch_predict_taken_m ==  1'b1)
67863					 )
67864				     )
67865                                 )
67866                              || (exception_m ==  1'b1)
67867                             );
67868
67869
67870assign branch_mispredict_taken_m =    (condition_met_m ==  1'b0)
67871                                   && (branch_predict_m ==  1'b1)
67872	   			   && (branch_predict_taken_m ==  1'b1);
67873
67874
67875assign branch_flushX_m =    (stall_m ==  1'b0)
67876                         && (   (   (branch_m ==  1'b1)
67877                                 && (valid_m ==  1'b1)
67878			         && (   (condition_met_m ==  1'b1)
67879				     || (   (condition_met_m ==  1'b0)
67880					 && (branch_predict_m ==  1'b1)
67881					 && (branch_predict_taken_m ==  1'b1)
67882					)
67883				    )
67884			        )
67885			     || (exception_m ==  1'b1)
67886			    );
67887
67888
67889assign kill_f =    (   (valid_d ==  1'b1)
67890                    && (branch_predict_taken_d ==  1'b1)
67891		   )
67892                || (branch_taken_m ==  1'b1)
67893
67894
67895
67896
67897
67898
67899                || (icache_refill_request ==  1'b1)
67900
67901
67902
67903
67904
67905
67906                ;
67907assign kill_d =    (branch_taken_m ==  1'b1)
67908
67909
67910
67911
67912
67913
67914                || (icache_refill_request ==  1'b1)
67915
67916
67917
67918
67919
67920
67921                ;
67922assign kill_x =    (branch_flushX_m ==  1'b1)
67923
67924
67925
67926
67927                ;
67928assign kill_m =     1'b0
67929
67930
67931
67932
67933                ;
67934assign kill_w =     1'b0
67935
67936
67937
67938
67939                ;
67940
67941
67942
67943
67944
67945assign breakpoint_exception =    (   (   (break_x ==  1'b1)
67946				      || (bp_match ==  1'b1)
67947				     )
67948				  && (valid_x ==  1'b1)
67949				 )
67950
67951
67952                              || (jtag_break ==  1'b1)
67953
67954
67955                              ;
67956
67957
67958
67959
67960
67961assign watchpoint_exception = wp_match ==  1'b1;
67962
67963
67964
67965
67966
67967
67968
67969
67970
67971
67972
67973
67974
67975
67976
67977
67978assign system_call_exception = (   (scall_x ==  1'b1)
67979
67980
67981
67982
67983			       );
67984
67985
67986
67987assign debug_exception_x =  (breakpoint_exception ==  1'b1)
67988                         || (watchpoint_exception ==  1'b1)
67989                         ;
67990
67991assign non_debug_exception_x = (system_call_exception ==  1'b1)
67992
67993
67994                            || (reset_exception ==  1'b1)
67995
67996
67997
67998
67999
68000
68001
68002
68003
68004
68005
68006
68007
68008                            || (   (interrupt_exception ==  1'b1)
68009
68010
68011                                && (dc_ss ==  1'b0)
68012
68013
68014
68015
68016
68017
68018
68019                               )
68020
68021
68022                            ;
68023
68024assign exception_x = (debug_exception_x ==  1'b1) || (non_debug_exception_x ==  1'b1);
68025
68026
68027
68028
68029
68030
68031
68032
68033
68034
68035
68036
68037
68038
68039
68040
68041
68042
68043
68044
68045
68046
68047
68048
68049
68050
68051
68052
68053
68054
68055
68056
68057
68058
68059
68060
68061always @(*)
68062begin
68063
68064
68065
68066
68067    if (reset_exception ==  1'b1)
68068        eid_x =  3'h0;
68069    else
68070
68071
68072
68073
68074
68075
68076
68077
68078         if (breakpoint_exception ==  1'b1)
68079        eid_x =  3'd1;
68080    else
68081
68082
68083
68084
68085
68086
68087
68088
68089
68090
68091
68092
68093
68094         if (watchpoint_exception ==  1'b1)
68095        eid_x =  3'd3;
68096    else
68097
68098
68099
68100
68101
68102
68103
68104
68105
68106
68107         if (   (interrupt_exception ==  1'b1)
68108
68109
68110             && (dc_ss ==  1'b0)
68111
68112
68113            )
68114        eid_x =  3'h6;
68115    else
68116
68117
68118        eid_x =  3'h7;
68119end
68120
68121
68122
68123assign stall_a = (stall_f ==  1'b1);
68124
68125assign stall_f = (stall_d ==  1'b1);
68126
68127assign stall_d =   (stall_x ==  1'b1)
68128                || (   (interlock ==  1'b1)
68129                    && (kill_d ==  1'b0)
68130                   )
68131		|| (   (   (eret_d ==  1'b1)
68132			|| (scall_d ==  1'b1)
68133
68134
68135
68136
68137		       )
68138		    && (   (load_q_x ==  1'b1)
68139			|| (load_q_m ==  1'b1)
68140			|| (store_q_x ==  1'b1)
68141			|| (store_q_m ==  1'b1)
68142			|| (D_CYC_O ==  1'b1)
68143		       )
68144                    && (kill_d ==  1'b0)
68145		   )
68146
68147
68148		|| (   (   (break_d ==  1'b1)
68149			|| (bret_d ==  1'b1)
68150		       )
68151		    && (   (load_q_x ==  1'b1)
68152			|| (store_q_x ==  1'b1)
68153			|| (load_q_m ==  1'b1)
68154			|| (store_q_m ==  1'b1)
68155			|| (D_CYC_O ==  1'b1)
68156		       )
68157                    && (kill_d ==  1'b0)
68158		   )
68159
68160
68161                || (   (csr_write_enable_d ==  1'b1)
68162                    && (load_q_x ==  1'b1)
68163                   )
68164
68165
68166
68167
68168
68169
68170
68171
68172
68173
68174                ;
68175
68176assign stall_x =    (stall_m ==  1'b1)
68177
68178
68179
68180
68181
68182
68183
68184
68185                 ;
68186
68187assign stall_m =    (stall_wb_load ==  1'b1)
68188
68189
68190
68191
68192                 || (   (D_CYC_O ==  1'b1)
68193                     && (   (store_m ==  1'b1)
68194
68195
68196
68197
68198
68199
68200
68201
68202
68203
68204
68205
68206
68207
68208
68209		         || ((store_x ==  1'b1) && (interrupt_exception ==  1'b1))
68210
68211
68212                         || (load_m ==  1'b1)
68213                         || (load_x ==  1'b1)
68214                        )
68215                    )
68216
68217
68218
68219
68220
68221
68222
68223
68224                 || (icache_stall_request ==  1'b1)
68225                 || ((I_CYC_O ==  1'b1) && ((branch_m ==  1'b1) || (exception_m ==  1'b1)))
68226
68227
68228
68229
68230
68231
68232
68233
68234
68235
68236
68237
68238
68239
68240
68241
68242                 ;
68243
68244
68245
68246
68247
68248
68249
68250
68251
68252
68253
68254
68255
68256
68257
68258
68259
68260
68261
68262
68263
68264
68265assign q_x = (valid_x ==  1'b1) && (kill_x ==  1'b0);
68266assign csr_write_enable_q_x = (csr_write_enable_x ==  1'b1) && (q_x ==  1'b1);
68267assign eret_q_x = (eret_x ==  1'b1) && (q_x ==  1'b1);
68268
68269
68270assign bret_q_x = (bret_x ==  1'b1) && (q_x ==  1'b1);
68271
68272
68273assign load_q_x = (load_x ==  1'b1)
68274               && (q_x ==  1'b1)
68275
68276
68277               && (bp_match ==  1'b0)
68278
68279
68280                  ;
68281assign store_q_x = (store_x ==  1'b1)
68282               && (q_x ==  1'b1)
68283
68284
68285               && (bp_match ==  1'b0)
68286
68287
68288                  ;
68289
68290
68291
68292
68293assign q_m = (valid_m ==  1'b1) && (kill_m ==  1'b0) && (exception_m ==  1'b0);
68294assign load_q_m = (load_m ==  1'b1) && (q_m ==  1'b1);
68295assign store_q_m = (store_m ==  1'b1) && (q_m ==  1'b1);
68296
68297
68298assign debug_exception_q_w = ((debug_exception_w ==  1'b1) && (valid_w ==  1'b1));
68299assign non_debug_exception_q_w = ((non_debug_exception_w ==  1'b1) && (valid_w ==  1'b1));
68300
68301
68302
68303
68304
68305assign write_enable_q_x = (write_enable_x ==  1'b1) && (valid_x ==  1'b1) && (branch_flushX_m ==  1'b0);
68306assign write_enable_q_m = (write_enable_m ==  1'b1) && (valid_m ==  1'b1);
68307assign write_enable_q_w = (write_enable_w ==  1'b1) && (valid_w ==  1'b1);
68308
68309assign reg_write_enable_q_w = (write_enable_w ==  1'b1) && (kill_w ==  1'b0) && (valid_w ==  1'b1);
68310
68311
68312assign cfg = {
68313               6'h02,
68314              watchpoints[3:0],
68315              breakpoints[3:0],
68316              interrupts[5:0],
68317
68318
68319               1'b1,
68320
68321
68322
68323
68324
68325
68326
68327
68328               1'b0,
68329
68330
68331
68332
68333               1'b1,
68334
68335
68336
68337
68338
68339
68340               1'b1,
68341
68342
68343
68344
68345
68346
68347               1'b1,
68348
68349
68350
68351
68352
68353
68354
68355
68356               1'b0,
68357
68358
68359
68360
68361
68362
68363               1'b0,
68364
68365
68366
68367
68368
68369
68370               1'b0,
68371
68372
68373
68374
68375               1'b1,
68376
68377
68378
68379
68380
68381
68382               1'b1,
68383
68384
68385
68386
68387
68388
68389
68390
68391               1'b0,
68392
68393
68394
68395
68396               1'b1
68397
68398
68399
68400
68401              };
68402
68403assign cfg2 = {
68404		     30'b0,
68405
68406
68407
68408
68409		      1'b0,
68410
68411
68412
68413
68414
68415
68416		      1'b0
68417
68418
68419		     };
68420
68421
68422
68423
68424assign iflush = (   (csr_write_enable_d ==  1'b1)
68425                 && (csr_d ==  5'h3)
68426                 && (stall_d ==  1'b0)
68427                 && (kill_d ==  1'b0)
68428                 && (valid_d ==  1'b1))
68429
68430
68431
68432             ||
68433                (   (jtag_csr_write_enable ==  1'b1)
68434		 && (jtag_csr ==  5'h3))
68435
68436
68437		 ;
68438
68439
68440
68441
68442
68443
68444
68445
68446
68447
68448
68449
68450
68451
68452
68453
68454assign csr_d = read_idx_0_d[ (5-1):0];
68455
68456
68457always @(*)
68458begin
68459    case (csr_x)
68460
68461
68462     5'h0,
68463     5'h1,
68464     5'h2:   csr_read_data_x = interrupt_csr_read_data_x;
68465
68466
68467
68468
68469
68470
68471     5'h6:  csr_read_data_x = cfg;
68472     5'h7:  csr_read_data_x = {eba, 8'h00};
68473
68474
68475     5'h9: csr_read_data_x = {deba, 8'h00};
68476
68477
68478
68479
68480     5'he:  csr_read_data_x = jtx_csr_read_data;
68481     5'hf:  csr_read_data_x = jrx_csr_read_data;
68482
68483
68484     5'ha: csr_read_data_x = cfg2;
68485     5'hb:  csr_read_data_x = sdb_address;
68486
68487
68488
68489
68490
68491
68492    default:        csr_read_data_x = { 32{1'bx}};
68493    endcase
68494end
68495
68496
68497
68498
68499
68500
68501always @(posedge clk_i  )
68502begin
68503    if (rst_i ==  1'b1)
68504        eba <= eba_reset[ (clogb2(32'h7fffffff-32'h0)-2)+2-1:8];
68505    else
68506    begin
68507        if ((csr_write_enable_q_x ==  1'b1) && (csr_x ==  5'h7) && (stall_x ==  1'b0))
68508            eba <= operand_1_x[ (clogb2(32'h7fffffff-32'h0)-2)+2-1:8];
68509
68510
68511
68512
68513       if ((jtag_csr_write_enable ==  1'b1) && (jtag_csr ==  5'h7))
68514         eba <= jtag_csr_write_data[ (clogb2(32'h7fffffff-32'h0)-2)+2-1:8];
68515
68516
68517
68518
68519
68520
68521
68522
68523
68524    end
68525end
68526
68527
68528
68529
68530always @(posedge clk_i  )
68531begin
68532    if (rst_i ==  1'b1)
68533        deba <= deba_reset[ (clogb2(32'h7fffffff-32'h0)-2)+2-1:8];
68534    else
68535    begin
68536        if ((csr_write_enable_q_x ==  1'b1) && (csr_x ==  5'h9) && (stall_x ==  1'b0))
68537            deba <= operand_1_x[ (clogb2(32'h7fffffff-32'h0)-2)+2-1:8];
68538
68539
68540
68541
68542       if ((jtag_csr_write_enable ==  1'b1) && (jtag_csr ==  5'h9))
68543         deba <= jtag_csr_write_data[ (clogb2(32'h7fffffff-32'h0)-2)+2-1:8];
68544
68545
68546
68547
68548
68549
68550
68551
68552
68553    end
68554end
68555
68556
68557
68558
68559
68560
68561
68562
68563
68564
68565
68566
68567
68568
68569
68570
68571
68572
68573
68574
68575
68576
68577
68578
68579
68580
68581
68582
68583
68584
68585
68586
68587
68588
68589
68590
68591
68592
68593
68594
68595
68596
68597
68598
68599
68600
68601
68602
68603
68604
68605
68606
68607
68608
68609
68610
68611always @(*)
68612begin
68613    if (icache_refill_request ==  1'b1)
68614        valid_a =  1'b0;
68615    else if (icache_restart_request ==  1'b1)
68616        valid_a =  1'b1;
68617    else
68618        valid_a = !icache_refilling;
68619end
68620
68621
68622
68623
68624
68625
68626
68627
68628
68629
68630
68631
68632
68633
68634
68635
68636
68637always @(posedge clk_i  )
68638begin
68639    if (rst_i ==  1'b1)
68640    begin
68641        valid_f <=  1'b0;
68642        valid_d <=  1'b0;
68643        valid_x <=  1'b0;
68644        valid_m <=  1'b0;
68645        valid_w <=  1'b0;
68646    end
68647    else
68648    begin
68649        if ((kill_f ==  1'b1) || (stall_a ==  1'b0))
68650
68651
68652            valid_f <= valid_a;
68653
68654
68655
68656
68657        else if (stall_f ==  1'b0)
68658            valid_f <=  1'b0;
68659
68660        if (kill_d ==  1'b1)
68661            valid_d <=  1'b0;
68662        else if (stall_f ==  1'b0)
68663            valid_d <= valid_f & !kill_f;
68664        else if (stall_d ==  1'b0)
68665            valid_d <=  1'b0;
68666
68667        if (stall_d ==  1'b0)
68668            valid_x <= valid_d & !kill_d;
68669        else if (kill_x ==  1'b1)
68670            valid_x <=  1'b0;
68671        else if (stall_x ==  1'b0)
68672            valid_x <=  1'b0;
68673
68674        if (kill_m ==  1'b1)
68675            valid_m <=  1'b0;
68676        else if (stall_x ==  1'b0)
68677            valid_m <= valid_x & !kill_x;
68678        else if (stall_m ==  1'b0)
68679            valid_m <=  1'b0;
68680
68681        if (stall_m ==  1'b0)
68682            valid_w <= valid_m & !kill_m;
68683        else
68684            valid_w <=  1'b0;
68685    end
68686end
68687
68688
68689always @(posedge clk_i  )
68690begin
68691    if (rst_i ==  1'b1)
68692    begin
68693
68694
68695
68696
68697        operand_0_x <= { 32{1'b0}};
68698        operand_1_x <= { 32{1'b0}};
68699        store_operand_x <= { 32{1'b0}};
68700        branch_target_x <= { (clogb2(32'h7fffffff-32'h0)-2){1'b0}};
68701        x_result_sel_csr_x <=  1'b0;
68702
68703
68704
68705
68706
68707
68708
68709
68710
68711
68712        x_result_sel_sext_x <=  1'b0;
68713
68714
68715
68716
68717
68718
68719        x_result_sel_add_x <=  1'b0;
68720        m_result_sel_compare_x <=  1'b0;
68721
68722
68723        m_result_sel_shift_x <=  1'b0;
68724
68725
68726        w_result_sel_load_x <=  1'b0;
68727
68728
68729        w_result_sel_mul_x <=  1'b0;
68730
68731
68732        x_bypass_enable_x <=  1'b0;
68733        m_bypass_enable_x <=  1'b0;
68734        write_enable_x <=  1'b0;
68735        write_idx_x <= { 5{1'b0}};
68736        csr_x <= { 5{1'b0}};
68737        load_x <=  1'b0;
68738        store_x <=  1'b0;
68739        size_x <= { 2{1'b0}};
68740        sign_extend_x <=  1'b0;
68741        adder_op_x <=  1'b0;
68742        adder_op_x_n <=  1'b0;
68743        logic_op_x <= 4'h0;
68744
68745
68746        direction_x <=  1'b0;
68747
68748
68749
68750
68751
68752
68753
68754        branch_x <=  1'b0;
68755        branch_predict_x <=  1'b0;
68756        branch_predict_taken_x <=  1'b0;
68757        condition_x <=  3'b000;
68758
68759
68760        break_x <=  1'b0;
68761
68762
68763        scall_x <=  1'b0;
68764        eret_x <=  1'b0;
68765
68766
68767        bret_x <=  1'b0;
68768
68769
68770
68771
68772
68773
68774
68775        csr_write_enable_x <=  1'b0;
68776        operand_m <= { 32{1'b0}};
68777        branch_target_m <= { (clogb2(32'h7fffffff-32'h0)-2){1'b0}};
68778        m_result_sel_compare_m <=  1'b0;
68779
68780
68781        m_result_sel_shift_m <=  1'b0;
68782
68783
68784        w_result_sel_load_m <=  1'b0;
68785
68786
68787        w_result_sel_mul_m <=  1'b0;
68788
68789
68790        m_bypass_enable_m <=  1'b0;
68791        branch_m <=  1'b0;
68792        branch_predict_m <=  1'b0;
68793	branch_predict_taken_m <=  1'b0;
68794        exception_m <=  1'b0;
68795        load_m <=  1'b0;
68796        store_m <=  1'b0;
68797        write_enable_m <=  1'b0;
68798        write_idx_m <= { 5{1'b0}};
68799        condition_met_m <=  1'b0;
68800
68801
68802
68803
68804
68805
68806        debug_exception_m <=  1'b0;
68807        non_debug_exception_m <=  1'b0;
68808
68809
68810        operand_w <= { 32{1'b0}};
68811        w_result_sel_load_w <=  1'b0;
68812
68813
68814        w_result_sel_mul_w <=  1'b0;
68815
68816
68817        write_idx_w <= { 5{1'b0}};
68818        write_enable_w <=  1'b0;
68819
68820
68821        debug_exception_w <=  1'b0;
68822        non_debug_exception_w <=  1'b0;
68823
68824
68825
68826
68827
68828
68829
68830
68831    end
68832    else
68833    begin
68834
68835
68836        if (stall_x ==  1'b0)
68837        begin
68838
68839
68840
68841
68842            operand_0_x <= d_result_0;
68843            operand_1_x <= d_result_1;
68844            store_operand_x <= bypass_data_1;
68845            branch_target_x <= branch_reg_d ==  1'b1 ? bypass_data_0[ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] : branch_target_d;
68846            x_result_sel_csr_x <= x_result_sel_csr_d;
68847
68848
68849
68850
68851
68852
68853
68854
68855
68856
68857            x_result_sel_sext_x <= x_result_sel_sext_d;
68858
68859
68860
68861
68862
68863
68864            x_result_sel_add_x <= x_result_sel_add_d;
68865            m_result_sel_compare_x <= m_result_sel_compare_d;
68866
68867
68868            m_result_sel_shift_x <= m_result_sel_shift_d;
68869
68870
68871            w_result_sel_load_x <= w_result_sel_load_d;
68872
68873
68874            w_result_sel_mul_x <= w_result_sel_mul_d;
68875
68876
68877            x_bypass_enable_x <= x_bypass_enable_d;
68878            m_bypass_enable_x <= m_bypass_enable_d;
68879            load_x <= load_d;
68880            store_x <= store_d;
68881            branch_x <= branch_d;
68882	    branch_predict_x <= branch_predict_d;
68883	    branch_predict_taken_x <= branch_predict_taken_d;
68884	    write_idx_x <= write_idx_d;
68885            csr_x <= csr_d;
68886            size_x <= size_d;
68887            sign_extend_x <= sign_extend_d;
68888            adder_op_x <= adder_op_d;
68889            adder_op_x_n <= ~adder_op_d;
68890            logic_op_x <= logic_op_d;
68891
68892
68893            direction_x <= direction_d;
68894
68895
68896
68897
68898
68899
68900            condition_x <= condition_d;
68901            csr_write_enable_x <= csr_write_enable_d;
68902
68903
68904            break_x <= break_d;
68905
68906
68907            scall_x <= scall_d;
68908
68909
68910
68911
68912            eret_x <= eret_d;
68913
68914
68915            bret_x <= bret_d;
68916
68917
68918            write_enable_x <= write_enable_d;
68919        end
68920
68921
68922
68923        if (stall_m ==  1'b0)
68924        begin
68925            operand_m <= x_result;
68926            m_result_sel_compare_m <= m_result_sel_compare_x;
68927
68928
68929            m_result_sel_shift_m <= m_result_sel_shift_x;
68930
68931
68932            if (exception_x ==  1'b1)
68933            begin
68934                w_result_sel_load_m <=  1'b0;
68935
68936
68937                w_result_sel_mul_m <=  1'b0;
68938
68939
68940            end
68941            else
68942            begin
68943                w_result_sel_load_m <= w_result_sel_load_x;
68944
68945
68946                w_result_sel_mul_m <= w_result_sel_mul_x;
68947
68948
68949            end
68950            m_bypass_enable_m <= m_bypass_enable_x;
68951            load_m <= load_x;
68952            store_m <= store_x;
68953
68954
68955
68956
68957            branch_m <= branch_x;
68958	    branch_predict_m <= branch_predict_x;
68959	    branch_predict_taken_m <= branch_predict_taken_x;
68960
68961
68962
68963
68964
68965
68966
68967
68968
68969            if (non_debug_exception_x ==  1'b1)
68970                write_idx_m <=  5'd30;
68971            else if (debug_exception_x ==  1'b1)
68972                write_idx_m <=  5'd31;
68973            else
68974                write_idx_m <= write_idx_x;
68975
68976
68977
68978
68979
68980
68981
68982            condition_met_m <= condition_met_x;
68983
68984
68985	   if (exception_x ==  1'b1)
68986	     if ((dc_re ==  1'b1)
68987		 || ((debug_exception_x ==  1'b1)
68988		     && (non_debug_exception_x ==  1'b0)))
68989	       branch_target_m <= {deba, eid_x, {3{1'b0}}};
68990	     else
68991	       branch_target_m <= {eba, eid_x, {3{1'b0}}};
68992	   else
68993	     branch_target_m <= branch_target_x;
68994
68995
68996
68997
68998
68999
69000
69001
69002
69003
69004
69005
69006
69007
69008
69009
69010
69011
69012
69013            write_enable_m <= exception_x ==  1'b1 ?  1'b1 : write_enable_x;
69014
69015
69016            debug_exception_m <= debug_exception_x;
69017            non_debug_exception_m <= non_debug_exception_x;
69018
69019
69020        end
69021
69022
69023        if (stall_m ==  1'b0)
69024        begin
69025            if ((exception_x ==  1'b1) && (q_x ==  1'b1) && (stall_x ==  1'b0))
69026                exception_m <=  1'b1;
69027            else
69028                exception_m <=  1'b0;
69029
69030
69031
69032
69033
69034
69035
69036
69037	end
69038
69039
69040
69041
69042
69043
69044        operand_w <= exception_m ==  1'b1 ? {pc_m, 2'b00} : m_result;
69045
69046
69047        w_result_sel_load_w <= w_result_sel_load_m;
69048
69049
69050        w_result_sel_mul_w <= w_result_sel_mul_m;
69051
69052
69053        write_idx_w <= write_idx_m;
69054
69055
69056
69057
69058
69059
69060
69061
69062        write_enable_w <= write_enable_m;
69063
69064
69065        debug_exception_w <= debug_exception_m;
69066        non_debug_exception_w <= non_debug_exception_m;
69067
69068
69069
69070
69071
69072
69073
69074
69075
69076
69077
69078
69079
69080    end
69081end
69082
69083
69084
69085
69086
69087always @(posedge clk_i  )
69088begin
69089    if (rst_i ==  1'b1)
69090    begin
69091        use_buf <=  1'b0;
69092        reg_data_buf_0 <= { 32{1'b0}};
69093        reg_data_buf_1 <= { 32{1'b0}};
69094    end
69095    else
69096    begin
69097        if (stall_d ==  1'b0)
69098            use_buf <=  1'b0;
69099        else if (use_buf ==  1'b0)
69100        begin
69101            reg_data_buf_0 <= reg_data_live_0;
69102            reg_data_buf_1 <= reg_data_live_1;
69103            use_buf <=  1'b1;
69104        end
69105        if (reg_write_enable_q_w ==  1'b1)
69106        begin
69107            if (write_idx_w == read_idx_0_d)
69108                reg_data_buf_0 <= w_result;
69109            if (write_idx_w == read_idx_1_d)
69110                reg_data_buf_1 <= w_result;
69111        end
69112    end
69113end
69114
69115
69116
69117
69118
69119
69120
69121
69122
69123
69124
69125
69126
69127
69128
69129
69130
69131
69132
69133
69134
69135
69136
69137
69138
69139
69140
69141
69142
69143
69144
69145
69146
69147
69148
69149
69150
69151
69152
69153
69154
69155
69156
69157
69158
69159
69160
69161
69162
69163
69164
69165
69166
69167
69168
69169
69170
69171
69172
69173
69174
69175
69176
69177
69178
69179
69180
69181
69182
69183
69184
69185
69186
69187
69188
69189
69190
69191
69192
69193
69194
69195
69196
69197
69198
69199
69200
69201
69202
69203
69204
69205
69206
69207
69208
69209
69210
69211
69212
69213
69214
69215
69216
69217
69218
69219
69220
69221
69222
69223
69224
69225
69226
69227
69228
69229
69230
69231
69232
69233
69234endmodule
69235
69236
69237
69238
69239
69240
69241
69242
69243
69244
69245
69246
69247
69248
69249
69250
69251
69252
69253
69254
69255
69256
69257
69258
69259
69260
69261
69262
69263
69264
69265
69266
69267
69268
69269
69270
69271
69272
69273
69274
69275
69276
69277
69278
69279
69280
69281
69282
69283
69284
69285
69286
69287
69288
69289
69290
69291
69292
69293
69294
69295
69296
69297
69298
69299
69300
69301
69302
69303
69304
69305
69306
69307
69308
69309
69310
69311
69312
69313
69314
69315
69316
69317
69318
69319
69320
69321
69322
69323
69324
69325
69326
69327
69328
69329
69330
69331
69332
69333
69334
69335
69336
69337
69338
69339
69340
69341
69342
69343
69344
69345
69346
69347
69348
69349
69350
69351
69352
69353
69354
69355
69356
69357
69358
69359
69360
69361
69362
69363
69364
69365
69366
69367
69368
69369
69370
69371
69372
69373
69374
69375
69376
69377
69378
69379
69380
69381
69382
69383
69384
69385
69386
69387
69388
69389
69390
69391
69392
69393
69394
69395
69396
69397
69398
69399
69400
69401
69402
69403
69404
69405
69406
69407
69408
69409
69410
69411
69412
69413
69414
69415
69416
69417
69418
69419
69420
69421
69422
69423
69424
69425
69426
69427
69428
69429
69430
69431
69432
69433
69434
69435
69436
69437
69438
69439
69440
69441
69442
69443
69444
69445
69446
69447
69448
69449
69450
69451
69452
69453
69454
69455
69456
69457
69458
69459
69460
69461
69462
69463
69464
69465
69466
69467
69468
69469
69470
69471
69472
69473
69474
69475
69476
69477
69478
69479
69480
69481
69482
69483
69484
69485
69486
69487
69488
69489
69490
69491
69492
69493
69494
69495
69496
69497
69498
69499
69500
69501
69502
69503
69504
69505
69506
69507
69508
69509
69510
69511
69512
69513
69514
69515
69516
69517
69518
69519
69520
69521
69522
69523
69524
69525
69526
69527
69528
69529
69530
69531
69532
69533
69534
69535
69536
69537
69538
69539
69540
69541
69542
69543
69544
69545
69546
69547
69548
69549
69550
69551
69552
69553
69554
69555
69556
69557
69558
69559
69560
69561
69562
69563
69564
69565
69566
69567
69568
69569
69570
69571
69572
69573
69574
69575
69576
69577
69578
69579
69580
69581
69582
69583
69584
69585
69586
69587
69588
69589
69590
69591
69592
69593
69594
69595
69596
69597
69598
69599
69600
69601
69602
69603
69604
69605
69606
69607
69608module lm32_load_store_unit_medium_icache_debug
69609(
69610
69611    clk_i,
69612    rst_i,
69613
69614    stall_a,
69615    stall_x,
69616    stall_m,
69617    kill_x,
69618    kill_m,
69619    exception_m,
69620    store_operand_x,
69621    load_store_address_x,
69622    load_store_address_m,
69623    load_store_address_w,
69624    load_x,
69625    store_x,
69626    load_q_x,
69627    store_q_x,
69628    load_q_m,
69629    store_q_m,
69630    sign_extend_x,
69631    size_x,
69632
69633
69634
69635
69636
69637    d_dat_i,
69638    d_ack_i,
69639    d_err_i,
69640    d_rty_i,
69641
69642
69643
69644
69645
69646
69647
69648
69649
69650
69651
69652
69653
69654
69655
69656
69657
69658
69659
69660    load_data_w,
69661    stall_wb_load,
69662
69663    d_dat_o,
69664    d_adr_o,
69665    d_cyc_o,
69666    d_sel_o,
69667    d_stb_o,
69668    d_we_o,
69669    d_cti_o,
69670    d_lock_o,
69671    d_bte_o
69672    );
69673
69674
69675
69676
69677
69678parameter associativity = 1;
69679parameter sets = 512;
69680parameter bytes_per_line = 16;
69681parameter base_address = 0;
69682parameter limit = 0;
69683
69684
69685localparam addr_offset_width = bytes_per_line == 4 ? 1 : clogb2(bytes_per_line)-1-2;
69686localparam addr_offset_lsb = 2;
69687localparam addr_offset_msb = (addr_offset_lsb+addr_offset_width-1);
69688
69689
69690
69691
69692
69693   input clk_i;
69694
69695input rst_i;
69696
69697input stall_a;
69698input stall_x;
69699input stall_m;
69700input kill_x;
69701input kill_m;
69702input exception_m;
69703
69704input [ (32-1):0] store_operand_x;
69705input [ (32-1):0] load_store_address_x;
69706input [ (32-1):0] load_store_address_m;
69707input [1:0] load_store_address_w;
69708input load_x;
69709input store_x;
69710input load_q_x;
69711input store_q_x;
69712input load_q_m;
69713input store_q_m;
69714input sign_extend_x;
69715input [ 1:0] size_x;
69716
69717
69718
69719
69720
69721
69722
69723
69724
69725
69726
69727
69728
69729
69730
69731
69732
69733   reg 		 [31:0] iram_dat_d0;
69734   reg 		 iram_en_d0;
69735   wire 	 iram_en;
69736   wire [31:0] 	 iram_data;
69737
69738
69739
69740input [ (32-1):0] d_dat_i;
69741input d_ack_i;
69742input d_err_i;
69743input d_rty_i;
69744
69745
69746
69747
69748
69749
69750
69751
69752
69753
69754
69755
69756
69757
69758
69759
69760
69761
69762output [ (32-1):0] load_data_w;
69763reg    [ (32-1):0] load_data_w;
69764output stall_wb_load;
69765reg    stall_wb_load;
69766
69767output [ (32-1):0] d_dat_o;
69768reg    [ (32-1):0] d_dat_o;
69769output [ (32-1):0] d_adr_o;
69770reg    [ (32-1):0] d_adr_o;
69771output d_cyc_o;
69772reg    d_cyc_o;
69773output [ (4-1):0] d_sel_o;
69774reg    [ (4-1):0] d_sel_o;
69775output d_stb_o;
69776reg    d_stb_o;
69777output d_we_o;
69778reg    d_we_o;
69779output [ (3-1):0] d_cti_o;
69780reg    [ (3-1):0] d_cti_o;
69781output d_lock_o;
69782reg    d_lock_o;
69783output [ (2-1):0] d_bte_o;
69784wire   [ (2-1):0] d_bte_o;
69785
69786
69787
69788
69789
69790
69791reg [ 1:0] size_m;
69792reg [ 1:0] size_w;
69793reg sign_extend_m;
69794reg sign_extend_w;
69795reg [ (32-1):0] store_data_x;
69796reg [ (32-1):0] store_data_m;
69797reg [ (4-1):0] byte_enable_x;
69798reg [ (4-1):0] byte_enable_m;
69799wire [ (32-1):0] data_m;
69800reg [ (32-1):0] data_w;
69801
69802
69803
69804
69805
69806
69807
69808
69809
69810
69811
69812
69813
69814
69815
69816
69817
69818
69819
69820
69821
69822
69823
69824
69825
69826wire wb_select_x;
69827
69828
69829
69830
69831
69832
69833
69834
69835
69836
69837reg wb_select_m;
69838reg [ (32-1):0] wb_data_m;
69839reg wb_load_complete;
69840
69841
69842
69843
69844
69845
69846
69847
69848
69849
69850
69851
69852
69853
69854
69855
69856
69857
69858
69859
69860
69861
69862
69863
69864
69865
69866
69867
69868
69869
69870
69871
69872
69873
69874
69875function integer clogb2;
69876input [31:0] value;
69877begin
69878   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
69879        value = value >> 1;
69880end
69881endfunction
69882
69883function integer clogb2_v1;
69884input [31:0] value;
69885reg   [31:0] i;
69886reg   [31:0] temp;
69887begin
69888   temp = 0;
69889   i    = 0;
69890   for (i = 0; temp < value; i = i + 1)
69891	temp = 1<<i;
69892   clogb2_v1 = i-1;
69893end
69894endfunction
69895
69896
69897
69898
69899
69900
69901
69902
69903
69904
69905
69906
69907
69908
69909
69910
69911
69912
69913
69914
69915
69916
69917
69918
69919
69920
69921
69922
69923
69924
69925
69926
69927
69928
69929
69930
69931
69932
69933
69934
69935
69936
69937
69938
69939
69940
69941
69942
69943
69944
69945
69946
69947
69948
69949
69950
69951
69952
69953
69954
69955
69956
69957
69958
69959
69960
69961
69962
69963
69964
69965
69966
69967
69968
69969
69970
69971
69972
69973
69974
69975
69976
69977
69978
69979
69980
69981
69982
69983
69984
69985
69986
69987
69988
69989   assign wb_select_x =     1'b1
69990
69991
69992
69993
69994
69995
69996
69997
69998
69999
70000
70001
70002                     ;
70003
70004
70005always @(*)
70006begin
70007    case (size_x)
70008     2'b00:  store_data_x = {4{store_operand_x[7:0]}};
70009     2'b11: store_data_x = {2{store_operand_x[15:0]}};
70010     2'b10:  store_data_x = store_operand_x;
70011    default:          store_data_x = { 32{1'bx}};
70012    endcase
70013end
70014
70015
70016always @(*)
70017begin
70018    casez ({size_x, load_store_address_x[1:0]})
70019    { 2'b00, 2'b11}:  byte_enable_x = 4'b0001;
70020    { 2'b00, 2'b10}:  byte_enable_x = 4'b0010;
70021    { 2'b00, 2'b01}:  byte_enable_x = 4'b0100;
70022    { 2'b00, 2'b00}:  byte_enable_x = 4'b1000;
70023    { 2'b11, 2'b1?}: byte_enable_x = 4'b0011;
70024    { 2'b11, 2'b0?}: byte_enable_x = 4'b1100;
70025    { 2'b10, 2'b??}:  byte_enable_x = 4'b1111;
70026    default:                   byte_enable_x = 4'bxxxx;
70027    endcase
70028end
70029
70030
70031
70032
70033
70034
70035
70036
70037
70038
70039
70040
70041
70042
70043
70044
70045
70046
70047
70048
70049
70050
70051
70052
70053
70054
70055
70056
70057
70058
70059
70060
70061
70062
70063
70064
70065
70066
70067
70068
70069
70070
70071
70072
70073
70074
70075
70076
70077
70078
70079
70080
70081
70082
70083
70084
70085
70086
70087
70088
70089
70090
70091
70092
70093
70094
70095
70096
70097
70098
70099
70100
70101
70102
70103
70104   assign data_m = wb_data_m;
70105
70106
70107
70108
70109
70110
70111
70112
70113always @(*)
70114begin
70115    casez ({size_w, load_store_address_w[1:0]})
70116    { 2'b00, 2'b11}:  load_data_w = {{24{sign_extend_w & data_w[7]}}, data_w[7:0]};
70117    { 2'b00, 2'b10}:  load_data_w = {{24{sign_extend_w & data_w[15]}}, data_w[15:8]};
70118    { 2'b00, 2'b01}:  load_data_w = {{24{sign_extend_w & data_w[23]}}, data_w[23:16]};
70119    { 2'b00, 2'b00}:  load_data_w = {{24{sign_extend_w & data_w[31]}}, data_w[31:24]};
70120    { 2'b11, 2'b1?}: load_data_w = {{16{sign_extend_w & data_w[15]}}, data_w[15:0]};
70121    { 2'b11, 2'b0?}: load_data_w = {{16{sign_extend_w & data_w[31]}}, data_w[31:16]};
70122    { 2'b10, 2'b??}:  load_data_w = data_w;
70123    default:                   load_data_w = { 32{1'bx}};
70124    endcase
70125end
70126
70127
70128assign d_bte_o =  2'b00;
70129
70130
70131
70132
70133
70134
70135
70136
70137
70138
70139
70140
70141
70142
70143
70144
70145
70146
70147
70148
70149
70150
70151
70152
70153
70154
70155
70156
70157
70158
70159
70160
70161
70162
70163
70164
70165always @(posedge clk_i  )
70166begin
70167    if (rst_i ==  1'b1)
70168    begin
70169        d_cyc_o <=  1'b0;
70170        d_stb_o <=  1'b0;
70171        d_dat_o <= { 32{1'b0}};
70172        d_adr_o <= { 32{1'b0}};
70173        d_sel_o <= { 4{ 1'b0}};
70174        d_we_o <=  1'b0;
70175        d_cti_o <=  3'b111;
70176        d_lock_o <=  1'b0;
70177        wb_data_m <= { 32{1'b0}};
70178        wb_load_complete <=  1'b0;
70179        stall_wb_load <=  1'b0;
70180
70181
70182
70183
70184    end
70185    else
70186    begin
70187
70188
70189
70190
70191
70192
70193        if (d_cyc_o ==  1'b1)
70194        begin
70195
70196            if ((d_ack_i ==  1'b1) || (d_err_i ==  1'b1))
70197            begin
70198
70199
70200
70201
70202
70203
70204
70205
70206
70207                begin
70208
70209                    d_cyc_o <=  1'b0;
70210                    d_stb_o <=  1'b0;
70211                    d_lock_o <=  1'b0;
70212                end
70213
70214
70215
70216
70217
70218
70219
70220                wb_data_m <= d_dat_i;
70221
70222                wb_load_complete <= !d_we_o;
70223            end
70224
70225        end
70226        else
70227        begin
70228
70229
70230
70231
70232
70233
70234
70235
70236
70237
70238
70239
70240
70241
70242
70243                 if (   (store_q_m ==  1'b1)
70244                     && (stall_m ==  1'b0)
70245
70246
70247
70248
70249
70250
70251
70252
70253                    )
70254            begin
70255
70256                d_dat_o <= store_data_m;
70257                d_adr_o <= load_store_address_m;
70258                d_cyc_o <=  1'b1;
70259                d_sel_o <= byte_enable_m;
70260                d_stb_o <=  1'b1;
70261                d_we_o <=  1'b1;
70262                d_cti_o <=  3'b111;
70263            end
70264            else if (   (load_q_m ==  1'b1)
70265                     && (wb_select_m ==  1'b1)
70266                     && (wb_load_complete ==  1'b0)
70267
70268                    )
70269            begin
70270
70271                stall_wb_load <=  1'b0;
70272                d_adr_o <= load_store_address_m;
70273                d_cyc_o <=  1'b1;
70274                d_sel_o <= byte_enable_m;
70275                d_stb_o <=  1'b1;
70276                d_we_o <=  1'b0;
70277                d_cti_o <=  3'b111;
70278            end
70279        end
70280
70281        if (stall_m ==  1'b0)
70282            wb_load_complete <=  1'b0;
70283
70284        if ((load_q_x ==  1'b1) && (wb_select_x ==  1'b1) && (stall_x ==  1'b0))
70285            stall_wb_load <=  1'b1;
70286
70287        if ((kill_m ==  1'b1) || (exception_m ==  1'b1))
70288            stall_wb_load <=  1'b0;
70289    end
70290end
70291
70292
70293
70294
70295always @(posedge clk_i  )
70296begin
70297    if (rst_i ==  1'b1)
70298    begin
70299        sign_extend_m <=  1'b0;
70300        size_m <= 2'b00;
70301        byte_enable_m <=  1'b0;
70302        store_data_m <= { 32{1'b0}};
70303
70304
70305
70306
70307
70308
70309
70310
70311
70312
70313
70314
70315
70316        wb_select_m <=  1'b0;
70317    end
70318    else
70319    begin
70320        if (stall_m ==  1'b0)
70321        begin
70322            sign_extend_m <= sign_extend_x;
70323            size_m <= size_x;
70324            byte_enable_m <= byte_enable_x;
70325            store_data_m <= store_data_x;
70326
70327
70328
70329
70330
70331
70332
70333
70334
70335
70336
70337
70338
70339            wb_select_m <= wb_select_x;
70340        end
70341    end
70342end
70343
70344
70345always @(posedge clk_i  )
70346begin
70347    if (rst_i ==  1'b1)
70348    begin
70349        size_w <= 2'b00;
70350        data_w <= { 32{1'b0}};
70351        sign_extend_w <=  1'b0;
70352    end
70353    else
70354    begin
70355        size_w <= size_m;
70356
70357
70358
70359
70360
70361        data_w <= data_m;
70362
70363        sign_extend_w <= sign_extend_m;
70364    end
70365end
70366
70367
70368
70369
70370
70371
70372
70373endmodule
70374
70375
70376
70377
70378
70379
70380
70381
70382
70383
70384
70385
70386
70387
70388
70389
70390
70391
70392
70393
70394
70395
70396
70397
70398
70399
70400
70401
70402
70403
70404
70405
70406
70407
70408
70409
70410
70411
70412
70413
70414
70415
70416
70417
70418
70419
70420
70421
70422
70423
70424
70425
70426
70427
70428
70429
70430
70431
70432
70433
70434
70435
70436
70437
70438
70439
70440
70441
70442
70443
70444
70445
70446
70447
70448
70449
70450
70451
70452
70453
70454
70455
70456
70457
70458
70459
70460
70461
70462
70463
70464
70465
70466
70467
70468
70469
70470
70471
70472
70473
70474
70475
70476
70477
70478
70479
70480
70481
70482
70483
70484
70485
70486
70487
70488
70489
70490
70491
70492
70493
70494
70495
70496
70497
70498
70499
70500
70501
70502
70503
70504
70505
70506
70507
70508
70509
70510
70511
70512
70513
70514
70515
70516
70517
70518
70519
70520
70521
70522
70523
70524
70525
70526
70527
70528
70529
70530
70531
70532
70533
70534
70535
70536
70537
70538
70539
70540
70541
70542
70543
70544
70545
70546
70547
70548
70549
70550
70551
70552
70553
70554
70555
70556
70557
70558
70559
70560
70561
70562
70563
70564
70565
70566
70567
70568
70569
70570
70571
70572
70573
70574
70575
70576
70577
70578
70579
70580
70581
70582
70583
70584
70585
70586
70587
70588
70589
70590
70591
70592
70593
70594
70595
70596
70597
70598
70599
70600
70601
70602
70603
70604
70605
70606
70607
70608
70609
70610
70611
70612
70613
70614
70615
70616
70617
70618
70619
70620
70621
70622
70623
70624
70625
70626
70627
70628
70629
70630
70631
70632
70633
70634
70635
70636
70637
70638
70639
70640
70641
70642
70643
70644
70645
70646
70647
70648
70649
70650
70651
70652
70653
70654
70655
70656
70657
70658
70659
70660
70661
70662
70663
70664
70665
70666
70667
70668
70669
70670
70671
70672
70673
70674
70675
70676
70677
70678
70679
70680
70681
70682
70683
70684
70685
70686
70687
70688
70689
70690
70691
70692
70693
70694
70695
70696
70697
70698
70699
70700
70701
70702
70703
70704
70705
70706
70707
70708
70709
70710
70711
70712
70713
70714
70715
70716
70717
70718
70719
70720
70721
70722
70723
70724
70725
70726
70727
70728
70729
70730
70731
70732
70733
70734
70735
70736
70737
70738
70739
70740
70741
70742
70743
70744
70745
70746
70747
70748
70749
70750
70751
70752
70753
70754
70755
70756
70757
70758
70759
70760
70761
70762
70763
70764
70765
70766
70767
70768
70769
70770
70771
70772
70773
70774
70775
70776
70777
70778
70779
70780
70781
70782
70783
70784
70785
70786
70787
70788
70789
70790
70791
70792
70793
70794
70795
70796
70797
70798
70799
70800
70801
70802
70803
70804
70805
70806
70807
70808
70809
70810
70811
70812
70813
70814
70815
70816
70817
70818
70819
70820
70821
70822
70823
70824
70825
70826
70827
70828
70829
70830
70831
70832
70833
70834
70835
70836
70837
70838module lm32_decoder_medium_icache_debug (
70839
70840    instruction,
70841
70842    d_result_sel_0,
70843    d_result_sel_1,
70844    x_result_sel_csr,
70845
70846
70847
70848
70849
70850
70851
70852
70853
70854
70855    x_result_sel_sext,
70856
70857
70858    x_result_sel_logic,
70859
70860
70861
70862
70863    x_result_sel_add,
70864    m_result_sel_compare,
70865
70866
70867    m_result_sel_shift,
70868
70869
70870    w_result_sel_load,
70871
70872
70873    w_result_sel_mul,
70874
70875
70876    x_bypass_enable,
70877    m_bypass_enable,
70878    read_enable_0,
70879    read_idx_0,
70880    read_enable_1,
70881    read_idx_1,
70882    write_enable,
70883    write_idx,
70884    immediate,
70885    branch_offset,
70886    load,
70887    store,
70888    size,
70889    sign_extend,
70890    adder_op,
70891    logic_op,
70892
70893
70894    direction,
70895
70896
70897
70898
70899
70900
70901
70902
70903
70904
70905
70906
70907
70908
70909
70910
70911    branch,
70912    branch_reg,
70913    condition,
70914    bi_conditional,
70915    bi_unconditional,
70916
70917
70918    break_opcode,
70919
70920
70921    scall,
70922    eret,
70923
70924
70925    bret,
70926
70927
70928
70929
70930
70931
70932    csr_write_enable
70933    );
70934
70935
70936
70937
70938
70939input [ (32-1):0] instruction;
70940
70941
70942
70943
70944
70945output [ 0:0] d_result_sel_0;
70946reg    [ 0:0] d_result_sel_0;
70947output [ 1:0] d_result_sel_1;
70948reg    [ 1:0] d_result_sel_1;
70949output x_result_sel_csr;
70950reg    x_result_sel_csr;
70951
70952
70953
70954
70955
70956
70957
70958
70959
70960
70961
70962
70963output x_result_sel_sext;
70964reg    x_result_sel_sext;
70965
70966
70967output x_result_sel_logic;
70968reg    x_result_sel_logic;
70969
70970
70971
70972
70973
70974output x_result_sel_add;
70975reg    x_result_sel_add;
70976output m_result_sel_compare;
70977reg    m_result_sel_compare;
70978
70979
70980output m_result_sel_shift;
70981reg    m_result_sel_shift;
70982
70983
70984output w_result_sel_load;
70985reg    w_result_sel_load;
70986
70987
70988output w_result_sel_mul;
70989reg    w_result_sel_mul;
70990
70991
70992output x_bypass_enable;
70993wire   x_bypass_enable;
70994output m_bypass_enable;
70995wire   m_bypass_enable;
70996output read_enable_0;
70997wire   read_enable_0;
70998output [ (5-1):0] read_idx_0;
70999wire   [ (5-1):0] read_idx_0;
71000output read_enable_1;
71001wire   read_enable_1;
71002output [ (5-1):0] read_idx_1;
71003wire   [ (5-1):0] read_idx_1;
71004output write_enable;
71005wire   write_enable;
71006output [ (5-1):0] write_idx;
71007wire   [ (5-1):0] write_idx;
71008output [ (32-1):0] immediate;
71009wire   [ (32-1):0] immediate;
71010output [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_offset;
71011wire   [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_offset;
71012output load;
71013wire   load;
71014output store;
71015wire   store;
71016output [ 1:0] size;
71017wire   [ 1:0] size;
71018output sign_extend;
71019wire   sign_extend;
71020output adder_op;
71021wire   adder_op;
71022output [ 3:0] logic_op;
71023wire   [ 3:0] logic_op;
71024
71025
71026output direction;
71027wire   direction;
71028
71029
71030
71031
71032
71033
71034
71035
71036
71037
71038
71039
71040
71041
71042
71043
71044
71045
71046
71047
71048
71049output branch;
71050wire   branch;
71051output branch_reg;
71052wire   branch_reg;
71053output [ (3-1):0] condition;
71054wire   [ (3-1):0] condition;
71055output bi_conditional;
71056wire bi_conditional;
71057output bi_unconditional;
71058wire bi_unconditional;
71059
71060
71061output break_opcode;
71062wire   break_opcode;
71063
71064
71065output scall;
71066wire   scall;
71067output eret;
71068wire   eret;
71069
71070
71071output bret;
71072wire   bret;
71073
71074
71075
71076
71077
71078
71079
71080output csr_write_enable;
71081wire   csr_write_enable;
71082
71083
71084
71085
71086
71087wire [ (32-1):0] extended_immediate;
71088wire [ (32-1):0] high_immediate;
71089wire [ (32-1):0] call_immediate;
71090wire [ (32-1):0] branch_immediate;
71091wire sign_extend_immediate;
71092wire select_high_immediate;
71093wire select_call_immediate;
71094
71095wire op_add;
71096wire op_and;
71097wire op_andhi;
71098wire op_b;
71099wire op_bi;
71100wire op_be;
71101wire op_bg;
71102wire op_bge;
71103wire op_bgeu;
71104wire op_bgu;
71105wire op_bne;
71106wire op_call;
71107wire op_calli;
71108wire op_cmpe;
71109wire op_cmpg;
71110wire op_cmpge;
71111wire op_cmpgeu;
71112wire op_cmpgu;
71113wire op_cmpne;
71114
71115
71116
71117
71118wire op_lb;
71119wire op_lbu;
71120wire op_lh;
71121wire op_lhu;
71122wire op_lw;
71123
71124
71125
71126
71127
71128
71129wire op_mul;
71130
71131
71132wire op_nor;
71133wire op_or;
71134wire op_orhi;
71135wire op_raise;
71136wire op_rcsr;
71137wire op_sb;
71138
71139
71140wire op_sextb;
71141wire op_sexth;
71142
71143
71144wire op_sh;
71145
71146
71147wire op_sl;
71148
71149
71150wire op_sr;
71151wire op_sru;
71152wire op_sub;
71153wire op_sw;
71154
71155
71156
71157
71158wire op_wcsr;
71159wire op_xnor;
71160wire op_xor;
71161
71162wire arith;
71163wire logical;
71164wire cmp;
71165wire bra;
71166wire call;
71167
71168
71169wire shift;
71170
71171
71172
71173
71174
71175
71176
71177
71178wire sext;
71179
71180
71181
71182
71183
71184
71185
71186
71187
71188
71189
71190
71191
71192
71193
71194
71195
71196
71197
71198
71199
71200
71201
71202
71203
71204
71205
71206
71207
71208
71209
71210
71211
71212
71213
71214
71215
71216function integer clogb2;
71217input [31:0] value;
71218begin
71219   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
71220        value = value >> 1;
71221end
71222endfunction
71223
71224function integer clogb2_v1;
71225input [31:0] value;
71226reg   [31:0] i;
71227reg   [31:0] temp;
71228begin
71229   temp = 0;
71230   i    = 0;
71231   for (i = 0; temp < value; i = i + 1)
71232	temp = 1<<i;
71233   clogb2_v1 = i-1;
71234end
71235endfunction
71236
71237
71238
71239
71240
71241
71242
71243
71244
71245assign op_add    = instruction[ 30:26] ==  5'b01101;
71246assign op_and    = instruction[ 30:26] ==  5'b01000;
71247assign op_andhi  = instruction[ 31:26] ==  6'b011000;
71248assign op_b      = instruction[ 31:26] ==  6'b110000;
71249assign op_bi     = instruction[ 31:26] ==  6'b111000;
71250assign op_be     = instruction[ 31:26] ==  6'b010001;
71251assign op_bg     = instruction[ 31:26] ==  6'b010010;
71252assign op_bge    = instruction[ 31:26] ==  6'b010011;
71253assign op_bgeu   = instruction[ 31:26] ==  6'b010100;
71254assign op_bgu    = instruction[ 31:26] ==  6'b010101;
71255assign op_bne    = instruction[ 31:26] ==  6'b010111;
71256assign op_call   = instruction[ 31:26] ==  6'b110110;
71257assign op_calli  = instruction[ 31:26] ==  6'b111110;
71258assign op_cmpe   = instruction[ 30:26] ==  5'b11001;
71259assign op_cmpg   = instruction[ 30:26] ==  5'b11010;
71260assign op_cmpge  = instruction[ 30:26] ==  5'b11011;
71261assign op_cmpgeu = instruction[ 30:26] ==  5'b11100;
71262assign op_cmpgu  = instruction[ 30:26] ==  5'b11101;
71263assign op_cmpne  = instruction[ 30:26] ==  5'b11111;
71264
71265
71266
71267
71268assign op_lb     = instruction[ 31:26] ==  6'b000100;
71269assign op_lbu    = instruction[ 31:26] ==  6'b010000;
71270assign op_lh     = instruction[ 31:26] ==  6'b000111;
71271assign op_lhu    = instruction[ 31:26] ==  6'b001011;
71272assign op_lw     = instruction[ 31:26] ==  6'b001010;
71273
71274
71275
71276
71277
71278
71279assign op_mul    = instruction[ 30:26] ==  5'b00010;
71280
71281
71282assign op_nor    = instruction[ 30:26] ==  5'b00001;
71283assign op_or     = instruction[ 30:26] ==  5'b01110;
71284assign op_orhi   = instruction[ 31:26] ==  6'b011110;
71285assign op_raise  = instruction[ 31:26] ==  6'b101011;
71286assign op_rcsr   = instruction[ 31:26] ==  6'b100100;
71287assign op_sb     = instruction[ 31:26] ==  6'b001100;
71288
71289
71290assign op_sextb  = instruction[ 31:26] ==  6'b101100;
71291assign op_sexth  = instruction[ 31:26] ==  6'b110111;
71292
71293
71294assign op_sh     = instruction[ 31:26] ==  6'b000011;
71295
71296
71297assign op_sl     = instruction[ 30:26] ==  5'b01111;
71298
71299
71300assign op_sr     = instruction[ 30:26] ==  5'b00101;
71301assign op_sru    = instruction[ 30:26] ==  5'b00000;
71302assign op_sub    = instruction[ 31:26] ==  6'b110010;
71303assign op_sw     = instruction[ 31:26] ==  6'b010110;
71304
71305
71306
71307
71308assign op_wcsr   = instruction[ 31:26] ==  6'b110100;
71309assign op_xnor   = instruction[ 30:26] ==  5'b01001;
71310assign op_xor    = instruction[ 30:26] ==  5'b00110;
71311
71312
71313assign arith = op_add | op_sub;
71314assign logical = op_and | op_andhi | op_nor | op_or | op_orhi | op_xor | op_xnor;
71315assign cmp = op_cmpe | op_cmpg | op_cmpge | op_cmpgeu | op_cmpgu | op_cmpne;
71316assign bi_conditional = op_be | op_bg | op_bge | op_bgeu  | op_bgu | op_bne;
71317assign bi_unconditional = op_bi;
71318assign bra = op_b | bi_unconditional | bi_conditional;
71319assign call = op_call | op_calli;
71320
71321
71322assign shift = op_sl | op_sr | op_sru;
71323
71324
71325
71326
71327
71328
71329
71330
71331
71332
71333
71334
71335
71336assign sext = op_sextb | op_sexth;
71337
71338
71339
71340
71341
71342
71343
71344
71345
71346
71347
71348assign load = op_lb | op_lbu | op_lh | op_lhu | op_lw;
71349assign store = op_sb | op_sh | op_sw;
71350
71351
71352always @(*)
71353begin
71354
71355    if (call)
71356        d_result_sel_0 =  1'b1;
71357    else
71358        d_result_sel_0 =  1'b0;
71359    if (call)
71360        d_result_sel_1 =  2'b00;
71361    else if ((instruction[31] == 1'b0) && !bra)
71362        d_result_sel_1 =  2'b10;
71363    else
71364        d_result_sel_1 =  2'b01;
71365
71366    x_result_sel_csr =  1'b0;
71367
71368
71369
71370
71371
71372
71373
71374
71375
71376
71377    x_result_sel_sext =  1'b0;
71378
71379
71380    x_result_sel_logic =  1'b0;
71381
71382
71383
71384
71385    x_result_sel_add =  1'b0;
71386    if (op_rcsr)
71387        x_result_sel_csr =  1'b1;
71388
71389
71390
71391
71392
71393
71394
71395
71396
71397
71398
71399
71400
71401
71402
71403
71404
71405
71406
71407
71408
71409
71410    else if (sext)
71411        x_result_sel_sext =  1'b1;
71412
71413
71414    else if (logical)
71415        x_result_sel_logic =  1'b1;
71416
71417
71418
71419
71420
71421    else
71422        x_result_sel_add =  1'b1;
71423
71424
71425
71426    m_result_sel_compare = cmp;
71427
71428
71429    m_result_sel_shift = shift;
71430
71431
71432
71433
71434    w_result_sel_load = load;
71435
71436
71437    w_result_sel_mul = op_mul;
71438
71439
71440end
71441
71442
71443assign x_bypass_enable =  arith
71444                        | logical
71445
71446
71447
71448
71449
71450
71451
71452
71453
71454
71455
71456
71457
71458
71459
71460
71461
71462
71463
71464
71465                        | sext
71466
71467
71468
71469
71470
71471
71472                        | op_rcsr
71473                        ;
71474
71475assign m_bypass_enable = x_bypass_enable
71476
71477
71478                        | shift
71479
71480
71481                        | cmp
71482                        ;
71483
71484assign read_enable_0 = ~(op_bi | op_calli);
71485assign read_idx_0 = instruction[25:21];
71486
71487assign read_enable_1 = ~(op_bi | op_calli | load);
71488assign read_idx_1 = instruction[20:16];
71489
71490assign write_enable = ~(bra | op_raise | store | op_wcsr);
71491assign write_idx = call
71492                    ? 5'd29
71493                    : instruction[31] == 1'b0
71494                        ? instruction[20:16]
71495                        : instruction[15:11];
71496
71497
71498assign size = instruction[27:26];
71499
71500assign sign_extend = instruction[28];
71501
71502assign adder_op = op_sub | op_cmpe | op_cmpg | op_cmpge | op_cmpgeu | op_cmpgu | op_cmpne | bra;
71503
71504assign logic_op = instruction[29:26];
71505
71506
71507
71508assign direction = instruction[29];
71509
71510
71511
71512assign branch = bra | call;
71513assign branch_reg = op_call | op_b;
71514assign condition = instruction[28:26];
71515
71516
71517assign break_opcode = op_raise & ~instruction[2];
71518
71519
71520assign scall = op_raise & instruction[2];
71521assign eret = op_b & (instruction[25:21] == 5'd30);
71522
71523
71524assign bret = op_b & (instruction[25:21] == 5'd31);
71525
71526
71527
71528
71529
71530
71531
71532
71533assign csr_write_enable = op_wcsr;
71534
71535
71536
71537assign sign_extend_immediate = ~(op_and | op_cmpgeu | op_cmpgu | op_nor | op_or | op_xnor | op_xor);
71538assign select_high_immediate = op_andhi | op_orhi;
71539assign select_call_immediate = instruction[31];
71540
71541assign high_immediate = {instruction[15:0], 16'h0000};
71542assign extended_immediate = {{16{sign_extend_immediate & instruction[15]}}, instruction[15:0]};
71543assign call_immediate = {{6{instruction[25]}}, instruction[25:0]};
71544assign branch_immediate = {{16{instruction[15]}}, instruction[15:0]};
71545
71546assign immediate = select_high_immediate ==  1'b1
71547                        ? high_immediate
71548                        : extended_immediate;
71549
71550assign branch_offset = select_call_immediate ==  1'b1
71551                        ? (call_immediate[ (clogb2(32'h7fffffff-32'h0)-2)-1:0])
71552                        : (branch_immediate[ (clogb2(32'h7fffffff-32'h0)-2)-1:0]);
71553
71554endmodule
71555
71556
71557
71558
71559
71560
71561
71562
71563
71564
71565
71566
71567
71568
71569
71570
71571
71572
71573
71574
71575
71576
71577
71578
71579
71580
71581
71582
71583
71584
71585
71586
71587
71588
71589
71590
71591
71592
71593
71594
71595
71596
71597
71598
71599
71600
71601
71602
71603
71604
71605
71606
71607
71608
71609
71610
71611
71612
71613
71614
71615
71616
71617
71618
71619
71620
71621
71622
71623
71624
71625
71626
71627
71628
71629
71630
71631
71632
71633
71634
71635
71636
71637
71638
71639
71640
71641
71642
71643
71644
71645
71646
71647
71648
71649
71650
71651
71652
71653
71654
71655
71656
71657
71658
71659
71660
71661
71662
71663
71664
71665
71666
71667
71668
71669
71670
71671
71672
71673
71674
71675
71676
71677
71678
71679
71680
71681
71682
71683
71684
71685
71686
71687
71688
71689
71690
71691
71692
71693
71694
71695
71696
71697
71698
71699
71700
71701
71702
71703
71704
71705
71706
71707
71708
71709
71710
71711
71712
71713
71714
71715
71716
71717
71718
71719
71720
71721
71722
71723
71724
71725
71726
71727
71728
71729
71730
71731
71732
71733
71734
71735
71736
71737
71738
71739
71740
71741
71742
71743
71744
71745
71746
71747
71748
71749
71750
71751
71752
71753
71754
71755
71756
71757
71758
71759
71760
71761
71762
71763
71764
71765
71766
71767
71768
71769
71770
71771
71772
71773
71774
71775
71776
71777
71778
71779
71780
71781
71782
71783
71784
71785
71786
71787
71788
71789
71790
71791
71792
71793
71794
71795
71796
71797
71798
71799
71800
71801
71802
71803
71804
71805
71806
71807
71808
71809
71810
71811
71812
71813
71814
71815
71816
71817
71818
71819
71820
71821
71822
71823
71824
71825
71826
71827
71828
71829
71830
71831
71832
71833
71834
71835
71836
71837
71838
71839
71840
71841
71842
71843
71844
71845
71846
71847
71848
71849
71850
71851
71852
71853
71854
71855
71856
71857
71858
71859
71860
71861
71862
71863
71864
71865
71866
71867
71868
71869
71870
71871
71872
71873
71874
71875
71876
71877
71878
71879
71880
71881
71882
71883
71884
71885
71886
71887
71888
71889
71890
71891
71892
71893
71894
71895
71896
71897
71898
71899
71900
71901
71902
71903
71904
71905
71906
71907
71908
71909
71910
71911
71912
71913
71914
71915
71916
71917
71918
71919
71920
71921
71922
71923
71924
71925
71926
71927
71928
71929
71930
71931
71932
71933
71934
71935
71936
71937
71938
71939
71940
71941
71942
71943
71944
71945
71946
71947
71948
71949
71950
71951
71952
71953
71954
71955
71956
71957
71958
71959
71960
71961
71962
71963
71964module lm32_icache_medium_icache_debug (
71965
71966    clk_i,
71967    rst_i,
71968    stall_a,
71969    stall_f,
71970    address_a,
71971    address_f,
71972    read_enable_f,
71973    refill_ready,
71974    refill_data,
71975    iflush,
71976
71977
71978
71979
71980    valid_d,
71981    branch_predict_taken_d,
71982
71983    stall_request,
71984    restart_request,
71985    refill_request,
71986    refill_address,
71987    refilling,
71988    inst
71989    );
71990
71991
71992
71993
71994
71995parameter associativity = 1;
71996parameter sets = 512;
71997parameter bytes_per_line = 16;
71998parameter base_address = 0;
71999parameter limit = 0;
72000
72001localparam addr_offset_width = clogb2(bytes_per_line)-1-2;
72002localparam addr_set_width = clogb2(sets)-1;
72003localparam addr_offset_lsb = 2;
72004localparam addr_offset_msb = (addr_offset_lsb+addr_offset_width-1);
72005localparam addr_set_lsb = (addr_offset_msb+1);
72006localparam addr_set_msb = (addr_set_lsb+addr_set_width-1);
72007localparam addr_tag_lsb = (addr_set_msb+1);
72008localparam addr_tag_msb = clogb2( 32'h7fffffff- 32'h0)-1;
72009localparam addr_tag_width = (addr_tag_msb-addr_tag_lsb+1);
72010
72011
72012
72013
72014
72015input clk_i;
72016input rst_i;
72017
72018input stall_a;
72019input stall_f;
72020
72021input valid_d;
72022input branch_predict_taken_d;
72023
72024input [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] address_a;
72025input [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] address_f;
72026input read_enable_f;
72027
72028input refill_ready;
72029input [ (32-1):0] refill_data;
72030
72031input iflush;
72032
72033
72034
72035
72036
72037
72038
72039
72040
72041output stall_request;
72042wire   stall_request;
72043output restart_request;
72044reg    restart_request;
72045output refill_request;
72046wire   refill_request;
72047output [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] refill_address;
72048reg    [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] refill_address;
72049output refilling;
72050reg    refilling;
72051output [ (32-1):0] inst;
72052wire   [ (32-1):0] inst;
72053
72054
72055
72056
72057
72058wire enable;
72059wire [0:associativity-1] way_mem_we;
72060wire [ (32-1):0] way_data[0:associativity-1];
72061wire [ ((addr_tag_width+1)-1):1] way_tag[0:associativity-1];
72062wire [0:associativity-1] way_valid;
72063wire [0:associativity-1] way_match;
72064wire miss;
72065
72066wire [ (addr_set_width-1):0] tmem_read_address;
72067wire [ (addr_set_width-1):0] tmem_write_address;
72068wire [ ((addr_offset_width+addr_set_width)-1):0] dmem_read_address;
72069wire [ ((addr_offset_width+addr_set_width)-1):0] dmem_write_address;
72070wire [ ((addr_tag_width+1)-1):0] tmem_write_data;
72071
72072reg [ 3:0] state;
72073wire flushing;
72074wire check;
72075wire refill;
72076
72077reg [associativity-1:0] refill_way_select;
72078reg [ addr_offset_msb:addr_offset_lsb] refill_offset;
72079wire last_refill;
72080reg [ (addr_set_width-1):0] flush_set;
72081
72082genvar i;
72083
72084
72085
72086
72087
72088
72089
72090
72091
72092
72093
72094
72095
72096
72097
72098
72099
72100
72101
72102
72103
72104
72105
72106
72107
72108
72109
72110
72111
72112
72113
72114
72115
72116
72117
72118function integer clogb2;
72119input [31:0] value;
72120begin
72121   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
72122        value = value >> 1;
72123end
72124endfunction
72125
72126function integer clogb2_v1;
72127input [31:0] value;
72128reg   [31:0] i;
72129reg   [31:0] temp;
72130begin
72131   temp = 0;
72132   i    = 0;
72133   for (i = 0; temp < value; i = i + 1)
72134	temp = 1<<i;
72135   clogb2_v1 = i-1;
72136end
72137endfunction
72138
72139
72140
72141
72142
72143
72144
72145
72146   generate
72147      for (i = 0; i < associativity; i = i + 1)
72148	begin : memories
72149
72150	   lm32_ram
72151	     #(
72152
72153	       .data_width                 (32),
72154	       .address_width              ( (addr_offset_width+addr_set_width))
72155
72156)
72157	   way_0_data_ram
72158	     (
72159
72160	      .read_clk                   (clk_i),
72161	      .write_clk                  (clk_i),
72162	      .reset                      (rst_i),
72163	      .read_address               (dmem_read_address),
72164	      .enable_read                (enable),
72165	      .write_address              (dmem_write_address),
72166	      .enable_write               ( 1'b1),
72167	      .write_enable               (way_mem_we[i]),
72168	      .write_data                 (refill_data),
72169
72170	      .read_data                  (way_data[i])
72171	      );
72172
72173	   lm32_ram
72174	     #(
72175
72176	       .data_width                 ( (addr_tag_width+1)),
72177	       .address_width              ( addr_set_width)
72178
72179	       )
72180	   way_0_tag_ram
72181	     (
72182
72183	      .read_clk                   (clk_i),
72184	      .write_clk                  (clk_i),
72185	      .reset                      (rst_i),
72186	      .read_address               (tmem_read_address),
72187	      .enable_read                (enable),
72188	      .write_address              (tmem_write_address),
72189	      .enable_write               ( 1'b1),
72190	      .write_enable               (way_mem_we[i] | flushing),
72191	      .write_data                 (tmem_write_data),
72192
72193	      .read_data                  ({way_tag[i], way_valid[i]})
72194	      );
72195
72196	end
72197endgenerate
72198
72199
72200
72201
72202
72203
72204generate
72205    for (i = 0; i < associativity; i = i + 1)
72206    begin : match
72207assign way_match[i] = ({way_tag[i], way_valid[i]} == {address_f[ addr_tag_msb:addr_tag_lsb],  1'b1});
72208    end
72209endgenerate
72210
72211
72212generate
72213    if (associativity == 1)
72214    begin : inst_1
72215assign inst = way_match[0] ? way_data[0] : 32'b0;
72216    end
72217    else if (associativity == 2)
72218	 begin : inst_2
72219assign inst = way_match[0] ? way_data[0] : (way_match[1] ? way_data[1] : 32'b0);
72220    end
72221endgenerate
72222
72223
72224generate
72225    if (bytes_per_line > 4)
72226assign dmem_write_address = {refill_address[ addr_set_msb:addr_set_lsb], refill_offset};
72227    else
72228assign dmem_write_address = refill_address[ addr_set_msb:addr_set_lsb];
72229endgenerate
72230
72231assign dmem_read_address = address_a[ addr_set_msb:addr_offset_lsb];
72232
72233
72234assign tmem_read_address = address_a[ addr_set_msb:addr_set_lsb];
72235assign tmem_write_address = flushing
72236                                ? flush_set
72237                                : refill_address[ addr_set_msb:addr_set_lsb];
72238
72239
72240generate
72241    if (bytes_per_line > 4)
72242assign last_refill = refill_offset == {addr_offset_width{1'b1}};
72243    else
72244assign last_refill =  1'b1;
72245endgenerate
72246
72247
72248assign enable = (stall_a ==  1'b0);
72249
72250
72251generate
72252    if (associativity == 1)
72253    begin : we_1
72254assign way_mem_we[0] = (refill_ready ==  1'b1);
72255    end
72256    else
72257    begin : we_2
72258assign way_mem_we[0] = (refill_ready ==  1'b1) && (refill_way_select[0] ==  1'b1);
72259assign way_mem_we[1] = (refill_ready ==  1'b1) && (refill_way_select[1] ==  1'b1);
72260    end
72261endgenerate
72262
72263
72264assign tmem_write_data[ 0] = last_refill & !flushing;
72265assign tmem_write_data[ ((addr_tag_width+1)-1):1] = refill_address[ addr_tag_msb:addr_tag_lsb];
72266
72267
72268assign flushing = |state[1:0];
72269assign check = state[2];
72270assign refill = state[3];
72271
72272assign miss = (~(|way_match)) && (read_enable_f ==  1'b1) && (stall_f ==  1'b0) && !(valid_d && branch_predict_taken_d);
72273assign stall_request = (check ==  1'b0);
72274assign refill_request = (refill ==  1'b1);
72275
72276
72277
72278
72279
72280
72281generate
72282    if (associativity >= 2)
72283    begin : way_select
72284always @(posedge clk_i  )
72285begin
72286    if (rst_i ==  1'b1)
72287        refill_way_select <= {{associativity-1{1'b0}}, 1'b1};
72288    else
72289    begin
72290        if (miss ==  1'b1)
72291            refill_way_select <= {refill_way_select[0], refill_way_select[1]};
72292    end
72293end
72294    end
72295endgenerate
72296
72297
72298always @(posedge clk_i  )
72299begin
72300    if (rst_i ==  1'b1)
72301        refilling <=  1'b0;
72302    else
72303        refilling <= refill;
72304end
72305
72306
72307always @(posedge clk_i  )
72308begin
72309    if (rst_i ==  1'b1)
72310    begin
72311        state <=  4'b0001;
72312        flush_set <= { addr_set_width{1'b1}};
72313        refill_address <= { (clogb2(32'h7fffffff-32'h0)-2){1'bx}};
72314        restart_request <=  1'b0;
72315    end
72316    else
72317    begin
72318        case (state)
72319
72320
72321         4'b0001:
72322        begin
72323            if (flush_set == { addr_set_width{1'b0}})
72324                state <=  4'b0100;
72325            flush_set <= flush_set - 1'b1;
72326        end
72327
72328
72329         4'b0010:
72330        begin
72331            if (flush_set == { addr_set_width{1'b0}})
72332
72333
72334
72335
72336
72337
72338		state <=  4'b0100;
72339
72340            flush_set <= flush_set - 1'b1;
72341        end
72342
72343
72344         4'b0100:
72345        begin
72346            if (stall_a ==  1'b0)
72347                restart_request <=  1'b0;
72348            if (iflush ==  1'b1)
72349            begin
72350                refill_address <= address_f;
72351                state <=  4'b0010;
72352            end
72353            else if (miss ==  1'b1)
72354            begin
72355                refill_address <= address_f;
72356                state <=  4'b1000;
72357            end
72358        end
72359
72360
72361         4'b1000:
72362        begin
72363            if (refill_ready ==  1'b1)
72364            begin
72365                if (last_refill ==  1'b1)
72366                begin
72367                    restart_request <=  1'b1;
72368                    state <=  4'b0100;
72369                end
72370            end
72371        end
72372
72373        endcase
72374    end
72375end
72376
72377generate
72378    if (bytes_per_line > 4)
72379    begin
72380
72381always @(posedge clk_i  )
72382begin
72383    if (rst_i ==  1'b1)
72384        refill_offset <= {addr_offset_width{1'b0}};
72385    else
72386    begin
72387        case (state)
72388
72389
72390         4'b0100:
72391        begin
72392            if (iflush ==  1'b1)
72393                refill_offset <= {addr_offset_width{1'b0}};
72394            else if (miss ==  1'b1)
72395                refill_offset <= {addr_offset_width{1'b0}};
72396        end
72397
72398
72399         4'b1000:
72400        begin
72401            if (refill_ready ==  1'b1)
72402                refill_offset <= refill_offset + 1'b1;
72403        end
72404
72405        endcase
72406    end
72407end
72408    end
72409endgenerate
72410
72411endmodule
72412
72413
72414
72415
72416
72417
72418
72419
72420
72421
72422
72423
72424
72425
72426
72427
72428
72429
72430
72431
72432
72433
72434
72435
72436
72437
72438
72439
72440
72441
72442
72443
72444
72445
72446
72447
72448
72449
72450
72451
72452
72453
72454
72455
72456
72457
72458
72459
72460
72461
72462
72463
72464
72465
72466
72467
72468
72469
72470
72471
72472
72473
72474
72475
72476
72477
72478
72479
72480
72481
72482
72483
72484
72485
72486
72487
72488
72489
72490
72491
72492
72493
72494
72495
72496
72497
72498
72499
72500
72501
72502
72503
72504
72505
72506
72507
72508
72509
72510
72511
72512
72513
72514
72515
72516
72517
72518
72519
72520
72521
72522
72523
72524
72525
72526
72527
72528
72529
72530
72531
72532
72533
72534
72535
72536
72537
72538
72539
72540
72541
72542
72543
72544
72545
72546
72547
72548
72549
72550
72551
72552
72553
72554
72555
72556
72557
72558
72559
72560
72561
72562
72563
72564
72565
72566
72567
72568
72569
72570
72571
72572
72573
72574
72575
72576
72577
72578
72579
72580
72581
72582
72583
72584
72585
72586
72587
72588
72589
72590
72591
72592
72593
72594
72595
72596
72597
72598
72599
72600
72601
72602
72603
72604
72605
72606
72607
72608
72609
72610
72611
72612
72613
72614
72615
72616
72617
72618
72619
72620
72621
72622
72623
72624
72625
72626
72627
72628
72629
72630
72631
72632
72633
72634
72635
72636
72637
72638
72639
72640
72641
72642
72643
72644
72645
72646
72647
72648
72649
72650
72651
72652
72653
72654
72655
72656
72657
72658
72659
72660
72661
72662
72663
72664
72665
72666
72667
72668
72669
72670
72671
72672
72673
72674
72675
72676
72677
72678
72679
72680
72681
72682
72683
72684
72685
72686
72687
72688
72689
72690
72691
72692
72693
72694
72695
72696
72697
72698
72699
72700
72701
72702
72703
72704
72705
72706
72707
72708
72709
72710
72711
72712
72713
72714
72715
72716
72717
72718
72719
72720
72721
72722
72723
72724
72725
72726
72727
72728
72729
72730
72731
72732
72733
72734
72735
72736
72737
72738
72739
72740
72741
72742
72743
72744
72745
72746
72747
72748
72749
72750
72751
72752
72753
72754
72755
72756
72757
72758
72759
72760
72761
72762
72763
72764
72765
72766
72767
72768
72769
72770
72771
72772
72773
72774
72775
72776
72777
72778
72779
72780
72781
72782
72783
72784
72785
72786
72787
72788
72789
72790
72791
72792
72793
72794
72795
72796
72797
72798
72799
72800
72801
72802
72803
72804
72805
72806
72807
72808
72809
72810
72811
72812
72813
72814
72815
72816
72817
72818
72819
72820
72821
72822
72823
72824
72825
72826
72827
72828
72829
72830
72831
72832
72833
72834
72835
72836
72837
72838
72839
72840
72841
72842
72843
72844
72845
72846
72847
72848
72849
72850
72851
72852
72853
72854
72855
72856
72857
72858
72859
72860
72861
72862
72863
72864
72865
72866
72867
72868
72869
72870
72871
72872
72873
72874
72875
72876
72877
72878
72879
72880
72881
72882
72883
72884
72885
72886
72887
72888
72889
72890
72891
72892
72893
72894
72895
72896
72897
72898
72899
72900
72901
72902
72903
72904
72905
72906
72907
72908
72909
72910
72911
72912
72913
72914
72915
72916
72917
72918
72919
72920
72921
72922
72923
72924
72925
72926
72927
72928
72929
72930
72931
72932
72933
72934
72935
72936
72937
72938
72939
72940
72941
72942
72943
72944
72945
72946
72947
72948
72949
72950
72951
72952
72953
72954
72955
72956
72957
72958
72959
72960
72961
72962
72963
72964
72965
72966
72967
72968
72969
72970
72971
72972
72973
72974
72975
72976
72977
72978
72979
72980
72981
72982
72983
72984
72985
72986
72987
72988
72989
72990
72991
72992
72993
72994
72995
72996
72997
72998
72999
73000
73001
73002
73003
73004
73005
73006
73007
73008
73009
73010
73011
73012
73013
73014
73015
73016
73017
73018
73019
73020
73021
73022
73023
73024
73025
73026
73027
73028
73029
73030
73031
73032
73033
73034
73035
73036
73037
73038
73039
73040
73041
73042
73043
73044
73045
73046
73047
73048
73049
73050
73051
73052
73053
73054
73055
73056
73057
73058
73059
73060
73061
73062
73063
73064
73065
73066
73067
73068
73069
73070
73071
73072
73073
73074
73075
73076
73077
73078
73079
73080
73081
73082
73083
73084
73085
73086
73087
73088
73089
73090
73091
73092
73093
73094
73095
73096
73097
73098
73099
73100
73101
73102
73103
73104
73105
73106
73107
73108
73109
73110
73111
73112
73113
73114
73115
73116
73117
73118
73119
73120
73121
73122
73123
73124
73125
73126
73127
73128
73129
73130
73131
73132
73133
73134
73135
73136
73137
73138
73139
73140
73141
73142
73143
73144
73145
73146
73147
73148
73149
73150
73151
73152
73153
73154
73155
73156
73157
73158
73159
73160
73161
73162
73163
73164
73165
73166
73167
73168
73169
73170
73171
73172
73173
73174
73175
73176
73177
73178
73179
73180
73181
73182
73183
73184
73185
73186
73187
73188
73189
73190
73191
73192
73193
73194
73195
73196
73197
73198
73199
73200
73201
73202
73203
73204
73205
73206
73207
73208
73209
73210
73211
73212
73213
73214
73215
73216
73217
73218
73219
73220
73221
73222
73223
73224
73225
73226
73227
73228
73229
73230
73231
73232
73233
73234
73235
73236
73237
73238
73239
73240
73241
73242
73243
73244
73245
73246
73247
73248
73249
73250
73251
73252
73253
73254
73255
73256
73257
73258
73259
73260
73261
73262
73263
73264
73265
73266
73267
73268
73269
73270
73271
73272
73273
73274
73275
73276
73277
73278
73279
73280
73281
73282
73283
73284
73285
73286
73287
73288
73289
73290
73291
73292
73293
73294
73295
73296
73297
73298
73299
73300
73301
73302
73303
73304
73305
73306
73307
73308
73309
73310
73311
73312
73313
73314
73315
73316
73317
73318
73319
73320
73321
73322
73323
73324
73325
73326
73327
73328
73329
73330
73331
73332
73333
73334
73335
73336
73337
73338
73339
73340
73341
73342
73343
73344
73345
73346
73347
73348
73349
73350
73351
73352
73353
73354
73355
73356
73357
73358
73359
73360
73361
73362
73363
73364
73365
73366
73367
73368
73369
73370
73371
73372
73373
73374
73375
73376
73377
73378
73379
73380
73381
73382
73383
73384
73385
73386
73387
73388
73389
73390
73391
73392
73393
73394
73395
73396
73397
73398
73399
73400
73401
73402
73403
73404
73405
73406
73407
73408
73409
73410
73411
73412
73413
73414
73415
73416
73417
73418
73419
73420
73421
73422
73423
73424
73425
73426
73427
73428
73429
73430
73431
73432
73433
73434
73435
73436
73437
73438
73439
73440
73441
73442
73443
73444
73445
73446
73447
73448
73449
73450
73451
73452
73453
73454
73455
73456
73457
73458
73459
73460
73461
73462
73463
73464
73465
73466
73467
73468
73469
73470
73471
73472
73473
73474
73475
73476
73477
73478
73479
73480
73481
73482
73483
73484
73485
73486
73487
73488
73489
73490
73491
73492
73493
73494
73495
73496
73497
73498
73499
73500
73501
73502
73503
73504
73505
73506
73507
73508
73509
73510
73511
73512
73513
73514
73515
73516
73517
73518
73519
73520
73521
73522
73523
73524
73525
73526
73527
73528
73529
73530
73531
73532
73533
73534
73535
73536
73537
73538
73539
73540
73541
73542
73543
73544
73545
73546
73547
73548
73549
73550
73551
73552
73553
73554
73555
73556
73557
73558
73559
73560
73561
73562
73563
73564
73565
73566
73567
73568
73569
73570
73571
73572
73573
73574
73575
73576
73577
73578
73579
73580
73581
73582
73583
73584
73585
73586
73587
73588
73589
73590
73591
73592
73593
73594
73595
73596
73597
73598
73599
73600
73601
73602
73603
73604
73605
73606
73607
73608
73609
73610
73611
73612
73613
73614
73615
73616
73617
73618
73619
73620
73621
73622
73623
73624
73625
73626
73627
73628
73629module lm32_debug_medium_icache_debug (
73630
73631    clk_i,
73632    rst_i,
73633    pc_x,
73634    load_x,
73635    store_x,
73636    load_store_address_x,
73637    csr_write_enable_x,
73638    csr_write_data,
73639    csr_x,
73640
73641
73642
73643
73644    jtag_csr_write_enable,
73645    jtag_csr_write_data,
73646    jtag_csr,
73647
73648
73649
73650
73651
73652
73653
73654
73655
73656
73657
73658
73659
73660
73661    eret_q_x,
73662    bret_q_x,
73663    stall_x,
73664    exception_x,
73665    q_x,
73666
73667
73668
73669
73670
73671
73672
73673
73674
73675
73676    dc_ss,
73677
73678
73679    dc_re,
73680    bp_match,
73681    wp_match
73682    );
73683
73684
73685
73686
73687
73688parameter breakpoints = 0;
73689parameter watchpoints = 0;
73690
73691
73692
73693
73694
73695input clk_i;
73696input rst_i;
73697
73698input [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_x;
73699input load_x;
73700input store_x;
73701input [ (32-1):0] load_store_address_x;
73702input csr_write_enable_x;
73703input [ (32-1):0] csr_write_data;
73704input [ (5-1):0] csr_x;
73705
73706
73707
73708
73709input jtag_csr_write_enable;
73710input [ (32-1):0] jtag_csr_write_data;
73711input [ (5-1):0] jtag_csr;
73712
73713
73714
73715
73716
73717
73718
73719
73720
73721
73722
73723
73724
73725
73726input eret_q_x;
73727input bret_q_x;
73728input stall_x;
73729input exception_x;
73730input q_x;
73731
73732
73733
73734
73735
73736
73737
73738
73739
73740
73741
73742
73743
73744output dc_ss;
73745reg    dc_ss;
73746
73747
73748output dc_re;
73749reg    dc_re;
73750output bp_match;
73751wire   bp_match;
73752output wp_match;
73753wire   wp_match;
73754
73755
73756
73757
73758
73759genvar i;
73760
73761
73762
73763reg [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] bp_a[0:breakpoints-1];
73764reg bp_e[0:breakpoints-1];
73765wire [0:breakpoints-1]bp_match_n;
73766
73767reg [ 1:0] wpc_c[0:watchpoints-1];
73768reg [ (32-1):0] wp[0:watchpoints-1];
73769wire [0:watchpoints-1]wp_match_n;
73770
73771wire debug_csr_write_enable;
73772wire [ (32-1):0] debug_csr_write_data;
73773wire [ (5-1):0] debug_csr;
73774
73775
73776
73777
73778reg [ 2:0] state;
73779
73780
73781
73782
73783
73784
73785
73786
73787
73788
73789
73790
73791
73792
73793
73794
73795
73796
73797
73798
73799
73800
73801
73802
73803
73804
73805
73806
73807
73808
73809
73810
73811
73812
73813
73814
73815
73816
73817function integer clogb2;
73818input [31:0] value;
73819begin
73820   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
73821        value = value >> 1;
73822end
73823endfunction
73824
73825function integer clogb2_v1;
73826input [31:0] value;
73827reg   [31:0] i;
73828reg   [31:0] temp;
73829begin
73830   temp = 0;
73831   i    = 0;
73832   for (i = 0; temp < value; i = i + 1)
73833	temp = 1<<i;
73834   clogb2_v1 = i-1;
73835end
73836endfunction
73837
73838
73839
73840
73841
73842
73843
73844
73845
73846generate
73847    for (i = 0; i < breakpoints; i = i + 1)
73848    begin : bp_comb
73849assign bp_match_n[i] = ((bp_a[i] == pc_x) && (bp_e[i] ==  1'b1));
73850    end
73851endgenerate
73852generate
73853
73854
73855    if (breakpoints > 0)
73856assign bp_match = (|bp_match_n) || (state ==  3'b011);
73857    else
73858assign bp_match = state ==  3'b011;
73859
73860
73861
73862
73863
73864
73865
73866endgenerate
73867
73868
73869generate
73870    for (i = 0; i < watchpoints; i = i + 1)
73871    begin : wp_comb
73872assign wp_match_n[i] = (wp[i] == load_store_address_x) && ((load_x & wpc_c[i][0]) | (store_x & wpc_c[i][1]));
73873    end
73874endgenerate
73875generate
73876    if (watchpoints > 0)
73877assign wp_match = |wp_match_n;
73878    else
73879assign wp_match =  1'b0;
73880endgenerate
73881
73882
73883
73884
73885
73886
73887assign debug_csr_write_enable = (csr_write_enable_x ==  1'b1) || (jtag_csr_write_enable ==  1'b1);
73888assign debug_csr_write_data = jtag_csr_write_enable ==  1'b1 ? jtag_csr_write_data : csr_write_data;
73889assign debug_csr = jtag_csr_write_enable ==  1'b1 ? jtag_csr : csr_x;
73890
73891
73892
73893
73894
73895
73896
73897
73898
73899
73900
73901
73902
73903
73904
73905
73906
73907
73908
73909
73910
73911
73912
73913generate
73914    for (i = 0; i < breakpoints; i = i + 1)
73915    begin : bp_seq
73916always @(posedge clk_i  )
73917begin
73918    if (rst_i ==  1'b1)
73919    begin
73920        bp_a[i] <= { (clogb2(32'h7fffffff-32'h0)-2){1'bx}};
73921        bp_e[i] <=  1'b0;
73922    end
73923    else
73924    begin
73925        if ((debug_csr_write_enable ==  1'b1) && (debug_csr ==  5'h10 + i))
73926        begin
73927            bp_a[i] <= debug_csr_write_data[ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2];
73928            bp_e[i] <= debug_csr_write_data[0];
73929        end
73930    end
73931end
73932    end
73933endgenerate
73934
73935
73936generate
73937    for (i = 0; i < watchpoints; i = i + 1)
73938    begin : wp_seq
73939always @(posedge clk_i  )
73940begin
73941    if (rst_i ==  1'b1)
73942    begin
73943        wp[i] <= { 32{1'bx}};
73944        wpc_c[i] <=  2'b00;
73945    end
73946    else
73947    begin
73948        if (debug_csr_write_enable ==  1'b1)
73949        begin
73950            if (debug_csr ==  5'h8)
73951                wpc_c[i] <= debug_csr_write_data[3+i*2:2+i*2];
73952            if (debug_csr ==  5'h18 + i)
73953                wp[i] <= debug_csr_write_data;
73954        end
73955    end
73956end
73957    end
73958endgenerate
73959
73960
73961always @(posedge clk_i  )
73962begin
73963    if (rst_i ==  1'b1)
73964        dc_re <=  1'b0;
73965    else
73966    begin
73967        if ((debug_csr_write_enable ==  1'b1) && (debug_csr ==  5'h8))
73968            dc_re <= debug_csr_write_data[1];
73969    end
73970end
73971
73972
73973
73974
73975always @(posedge clk_i  )
73976begin
73977    if (rst_i ==  1'b1)
73978    begin
73979        state <=  3'b000;
73980        dc_ss <=  1'b0;
73981    end
73982    else
73983    begin
73984        if ((debug_csr_write_enable ==  1'b1) && (debug_csr ==  5'h8))
73985        begin
73986            dc_ss <= debug_csr_write_data[0];
73987            if (debug_csr_write_data[0] ==  1'b0)
73988                state <=  3'b000;
73989            else
73990                state <=  3'b001;
73991        end
73992        case (state)
73993         3'b001:
73994        begin
73995
73996            if (   (   (eret_q_x ==  1'b1)
73997                    || (bret_q_x ==  1'b1)
73998                    )
73999                && (stall_x ==  1'b0)
74000               )
74001                state <=  3'b010;
74002        end
74003         3'b010:
74004        begin
74005
74006            if ((q_x ==  1'b1) && (stall_x ==  1'b0))
74007                state <=  3'b011;
74008        end
74009         3'b011:
74010        begin
74011
74012
74013
74014
74015
74016
74017
74018                 if ((exception_x ==  1'b1) && (q_x ==  1'b1) && (stall_x ==  1'b0))
74019            begin
74020                dc_ss <=  1'b0;
74021                state <=  3'b100;
74022            end
74023        end
74024         3'b100:
74025        begin
74026
74027
74028
74029
74030
74031
74032
74033                state <=  3'b000;
74034        end
74035        endcase
74036    end
74037end
74038
74039
74040
74041endmodule
74042
74043
74044
74045
74046
74047
74048
74049
74050
74051
74052
74053
74054
74055
74056
74057
74058
74059
74060
74061
74062
74063
74064
74065
74066
74067
74068
74069
74070
74071
74072
74073
74074
74075
74076
74077
74078
74079
74080
74081
74082
74083
74084
74085
74086
74087
74088
74089
74090
74091
74092
74093
74094
74095
74096
74097
74098
74099
74100
74101
74102
74103
74104
74105
74106
74107
74108
74109
74110
74111
74112
74113
74114
74115
74116
74117
74118
74119
74120
74121
74122
74123
74124
74125
74126
74127
74128
74129
74130
74131
74132
74133
74134
74135
74136
74137
74138
74139
74140
74141
74142
74143
74144
74145
74146
74147
74148
74149
74150
74151
74152
74153
74154
74155
74156
74157
74158
74159
74160
74161
74162
74163
74164
74165
74166
74167
74168
74169
74170
74171
74172
74173
74174
74175
74176
74177
74178
74179
74180
74181
74182
74183
74184
74185
74186
74187
74188
74189
74190
74191
74192
74193
74194
74195
74196
74197
74198
74199
74200
74201
74202
74203
74204
74205
74206
74207
74208
74209
74210
74211
74212
74213
74214
74215
74216
74217
74218
74219
74220
74221
74222
74223
74224
74225
74226
74227
74228
74229
74230
74231
74232
74233
74234
74235
74236
74237
74238
74239
74240
74241
74242
74243
74244
74245
74246
74247
74248
74249
74250
74251
74252
74253
74254
74255
74256
74257
74258
74259
74260
74261
74262
74263
74264
74265
74266
74267
74268
74269
74270
74271
74272
74273
74274
74275
74276
74277
74278
74279
74280
74281
74282
74283
74284
74285
74286
74287
74288
74289
74290
74291
74292
74293
74294
74295
74296
74297
74298
74299
74300
74301
74302
74303
74304
74305
74306
74307
74308
74309
74310
74311
74312
74313
74314
74315
74316
74317
74318
74319
74320
74321
74322
74323
74324
74325
74326
74327
74328
74329
74330
74331
74332
74333
74334
74335
74336
74337
74338
74339
74340
74341
74342
74343
74344
74345
74346
74347
74348
74349
74350
74351
74352
74353
74354
74355
74356
74357
74358
74359
74360
74361
74362
74363
74364
74365
74366
74367
74368
74369
74370
74371
74372
74373
74374
74375
74376
74377
74378
74379
74380
74381
74382
74383
74384
74385
74386
74387
74388
74389
74390
74391
74392
74393
74394
74395
74396
74397
74398
74399
74400
74401
74402
74403
74404
74405
74406
74407
74408
74409
74410
74411
74412
74413
74414
74415
74416
74417
74418
74419
74420
74421
74422
74423module lm32_instruction_unit_medium_icache_debug (
74424
74425    clk_i,
74426    rst_i,
74427
74428    stall_a,
74429    stall_f,
74430    stall_d,
74431    stall_x,
74432    stall_m,
74433    valid_f,
74434    valid_d,
74435    kill_f,
74436    branch_predict_taken_d,
74437    branch_predict_address_d,
74438
74439
74440
74441
74442
74443    exception_m,
74444    branch_taken_m,
74445    branch_mispredict_taken_m,
74446    branch_target_m,
74447
74448
74449    iflush,
74450
74451
74452
74453
74454
74455
74456
74457
74458
74459
74460
74461    i_dat_i,
74462    i_ack_i,
74463    i_err_i,
74464    i_rty_i,
74465
74466
74467
74468
74469    jtag_read_enable,
74470    jtag_write_enable,
74471    jtag_write_data,
74472    jtag_address,
74473
74474
74475
74476
74477    pc_f,
74478    pc_d,
74479    pc_x,
74480    pc_m,
74481    pc_w,
74482
74483
74484    icache_stall_request,
74485    icache_restart_request,
74486    icache_refill_request,
74487    icache_refilling,
74488
74489
74490
74491
74492
74493    i_dat_o,
74494    i_adr_o,
74495    i_cyc_o,
74496    i_sel_o,
74497    i_stb_o,
74498    i_we_o,
74499    i_cti_o,
74500    i_lock_o,
74501    i_bte_o,
74502
74503
74504
74505
74506
74507
74508
74509
74510
74511
74512    jtag_read_data,
74513    jtag_access_complete,
74514
74515
74516
74517
74518
74519
74520
74521
74522    instruction_f,
74523
74524
74525    instruction_d
74526    );
74527
74528
74529
74530
74531
74532parameter eba_reset =  32'h00000000;
74533parameter associativity = 1;
74534parameter sets = 512;
74535parameter bytes_per_line = 16;
74536parameter base_address = 0;
74537parameter limit = 0;
74538
74539
74540localparam eba_reset_minus_4 = eba_reset - 4;
74541localparam addr_offset_width = bytes_per_line == 4 ? 1 : clogb2(bytes_per_line)-1-2;
74542localparam addr_offset_lsb = 2;
74543localparam addr_offset_msb = (addr_offset_lsb+addr_offset_width-1);
74544
74545
74546
74547
74548
74549
74550
74551
74552
74553
74554
74555
74556input clk_i;
74557input rst_i;
74558
74559input stall_a;
74560input stall_f;
74561input stall_d;
74562input stall_x;
74563input stall_m;
74564input valid_f;
74565input valid_d;
74566input kill_f;
74567
74568input branch_predict_taken_d;
74569input [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_predict_address_d;
74570
74571
74572
74573
74574
74575
74576input exception_m;
74577input branch_taken_m;
74578input branch_mispredict_taken_m;
74579input [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] branch_target_m;
74580
74581
74582
74583input iflush;
74584
74585
74586
74587
74588
74589
74590
74591
74592
74593
74594
74595
74596input [ (32-1):0] i_dat_i;
74597input i_ack_i;
74598input i_err_i;
74599input i_rty_i;
74600
74601
74602
74603
74604
74605input jtag_read_enable;
74606input jtag_write_enable;
74607input [ 7:0] jtag_write_data;
74608input [ (32-1):0] jtag_address;
74609
74610
74611
74612
74613
74614
74615
74616output [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_f;
74617reg    [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_f;
74618output [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_d;
74619reg    [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_d;
74620output [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_x;
74621reg    [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_x;
74622output [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_m;
74623reg    [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_m;
74624output [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_w;
74625reg    [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_w;
74626
74627
74628
74629output icache_stall_request;
74630wire   icache_stall_request;
74631output icache_restart_request;
74632wire   icache_restart_request;
74633output icache_refill_request;
74634wire   icache_refill_request;
74635output icache_refilling;
74636wire   icache_refilling;
74637
74638
74639
74640
74641
74642output [ (32-1):0] i_dat_o;
74643
74644
74645reg    [ (32-1):0] i_dat_o;
74646
74647
74648
74649
74650output [ (32-1):0] i_adr_o;
74651reg    [ (32-1):0] i_adr_o;
74652output i_cyc_o;
74653reg    i_cyc_o;
74654output [ (4-1):0] i_sel_o;
74655
74656
74657reg    [ (4-1):0] i_sel_o;
74658
74659
74660
74661
74662output i_stb_o;
74663reg    i_stb_o;
74664output i_we_o;
74665
74666
74667reg    i_we_o;
74668
74669
74670
74671
74672output [ (3-1):0] i_cti_o;
74673reg    [ (3-1):0] i_cti_o;
74674output i_lock_o;
74675reg    i_lock_o;
74676output [ (2-1):0] i_bte_o;
74677wire   [ (2-1):0] i_bte_o;
74678
74679
74680
74681
74682
74683output [ 7:0] jtag_read_data;
74684reg    [ 7:0] jtag_read_data;
74685output jtag_access_complete;
74686wire   jtag_access_complete;
74687
74688
74689
74690
74691
74692
74693
74694
74695
74696
74697output [ (32-1):0] instruction_f;
74698wire   [ (32-1):0] instruction_f;
74699
74700
74701output [ (32-1):0] instruction_d;
74702reg    [ (32-1):0] instruction_d;
74703
74704
74705
74706
74707
74708reg [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] pc_a;
74709
74710
74711
74712reg [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] restart_address;
74713
74714
74715
74716
74717
74718wire icache_read_enable_f;
74719wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] icache_refill_address;
74720reg icache_refill_ready;
74721reg [ (32-1):0] icache_refill_data;
74722wire [ (32-1):0] icache_data_f;
74723wire [ (3-1):0] first_cycle_type;
74724wire [ (3-1):0] next_cycle_type;
74725wire last_word;
74726wire [ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2] first_address;
74727
74728
74729
74730
74731
74732
74733
74734
74735
74736
74737
74738
74739
74740
74741
74742
74743
74744
74745
74746
74747
74748
74749
74750
74751
74752
74753
74754
74755
74756
74757
74758
74759
74760reg jtag_access;
74761
74762
74763
74764
74765
74766
74767
74768
74769
74770
74771
74772
74773
74774
74775
74776
74777
74778
74779
74780
74781
74782
74783
74784
74785
74786
74787
74788
74789
74790
74791
74792
74793
74794
74795
74796
74797
74798function integer clogb2;
74799input [31:0] value;
74800begin
74801   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
74802        value = value >> 1;
74803end
74804endfunction
74805
74806function integer clogb2_v1;
74807input [31:0] value;
74808reg   [31:0] i;
74809reg   [31:0] temp;
74810begin
74811   temp = 0;
74812   i    = 0;
74813   for (i = 0; temp < value; i = i + 1)
74814	temp = 1<<i;
74815   clogb2_v1 = i-1;
74816end
74817endfunction
74818
74819
74820
74821
74822
74823
74824
74825
74826
74827
74828
74829
74830lm32_icache_medium_icache_debug #(
74831    .associativity          (associativity),
74832    .sets                   (sets),
74833    .bytes_per_line         (bytes_per_line),
74834    .base_address           (base_address),
74835    .limit                  (limit)
74836    ) icache (
74837
74838    .clk_i                  (clk_i),
74839    .rst_i                  (rst_i),
74840    .stall_a                (stall_a),
74841    .stall_f                (stall_f),
74842    .branch_predict_taken_d (branch_predict_taken_d),
74843    .valid_d                (valid_d),
74844    .address_a              (pc_a),
74845    .address_f              (pc_f),
74846    .read_enable_f          (icache_read_enable_f),
74847    .refill_ready           (icache_refill_ready),
74848    .refill_data            (icache_refill_data),
74849    .iflush                 (iflush),
74850
74851    .stall_request          (icache_stall_request),
74852    .restart_request        (icache_restart_request),
74853    .refill_request         (icache_refill_request),
74854    .refill_address         (icache_refill_address),
74855    .refilling              (icache_refilling),
74856    .inst                   (icache_data_f)
74857    );
74858
74859
74860
74861
74862
74863
74864
74865
74866
74867
74868   assign icache_read_enable_f =    (valid_f ==  1'b1)
74869     && (kill_f ==  1'b0)
74870
74871
74872
74873
74874
74875
74876
74877
74878				    ;
74879
74880
74881
74882
74883always @(*)
74884begin
74885
74886
74887
74888
74889
74890
74891
74892      if (branch_taken_m ==  1'b1)
74893	if ((branch_mispredict_taken_m ==  1'b1) && (exception_m ==  1'b0))
74894	  pc_a = pc_x;
74895	else
74896          pc_a = branch_target_m;
74897
74898
74899
74900
74901
74902      else
74903	if ( (valid_d ==  1'b1) && (branch_predict_taken_d ==  1'b1) )
74904	  pc_a = branch_predict_address_d;
74905	else
74906
74907
74908          if (icache_restart_request ==  1'b1)
74909            pc_a = restart_address;
74910	  else
74911
74912
74913            pc_a = pc_f + 1'b1;
74914end
74915
74916
74917
74918
74919
74920
74921
74922
74923
74924
74925
74926
74927
74928
74929
74930
74931
74932
74933
74934
74935
74936
74937
74938
74939
74940
74941
74942
74943
74944
74945
74946
74947
74948
74949
74950assign instruction_f = icache_data_f;
74951
74952
74953
74954
74955
74956
74957
74958
74959
74960
74961
74962
74963
74964
74965
74966
74967
74968
74969
74970assign i_bte_o =  2'b00;
74971
74972
74973
74974
74975
74976
74977generate
74978    case (bytes_per_line)
74979    4:
74980    begin
74981assign first_cycle_type =  3'b111;
74982assign next_cycle_type =  3'b111;
74983assign last_word =  1'b1;
74984assign first_address = icache_refill_address;
74985    end
74986    8:
74987    begin
74988assign first_cycle_type =  3'b010;
74989assign next_cycle_type =  3'b111;
74990assign last_word = i_adr_o[addr_offset_msb:addr_offset_lsb] == 1'b1;
74991assign first_address = {icache_refill_address[ (clogb2(32'h7fffffff-32'h0)-2)+2-1:addr_offset_msb+1], {addr_offset_width{1'b0}}};
74992    end
74993    16:
74994    begin
74995assign first_cycle_type =  3'b010;
74996assign next_cycle_type = i_adr_o[addr_offset_msb] == 1'b1 ?  3'b111 :  3'b010;
74997assign last_word = i_adr_o[addr_offset_msb:addr_offset_lsb] == 2'b11;
74998assign first_address = {icache_refill_address[ (clogb2(32'h7fffffff-32'h0)-2)+2-1:addr_offset_msb+1], {addr_offset_width{1'b0}}};
74999    end
75000    endcase
75001endgenerate
75002
75003
75004
75005
75006
75007
75008
75009
75010always @(posedge clk_i  )
75011begin
75012    if (rst_i ==  1'b1)
75013    begin
75014        pc_f <= eba_reset_minus_4[ ((clogb2(32'h7fffffff-32'h0)-2)+2-1):2];
75015        pc_d <= { (clogb2(32'h7fffffff-32'h0)-2){1'b0}};
75016        pc_x <= { (clogb2(32'h7fffffff-32'h0)-2){1'b0}};
75017        pc_m <= { (clogb2(32'h7fffffff-32'h0)-2){1'b0}};
75018        pc_w <= { (clogb2(32'h7fffffff-32'h0)-2){1'b0}};
75019    end
75020    else
75021    begin
75022        if (stall_f ==  1'b0)
75023            pc_f <= pc_a;
75024        if (stall_d ==  1'b0)
75025            pc_d <= pc_f;
75026        if (stall_x ==  1'b0)
75027            pc_x <= pc_d;
75028        if (stall_m ==  1'b0)
75029            pc_m <= pc_x;
75030        pc_w <= pc_m;
75031    end
75032end
75033
75034
75035
75036
75037always @(posedge clk_i  )
75038begin
75039    if (rst_i ==  1'b1)
75040        restart_address <= { (clogb2(32'h7fffffff-32'h0)-2){1'b0}};
75041    else
75042    begin
75043
75044
75045
75046
75047
75048
75049
75050
75051
75052
75053
75054
75055
75056
75057
75058            if (icache_refill_request ==  1'b1)
75059                restart_address <= icache_refill_address;
75060
75061
75062
75063
75064    end
75065end
75066
75067
75068
75069
75070
75071
75072
75073
75074
75075
75076
75077
75078
75079
75080
75081
75082
75083
75084
75085
75086
75087
75088assign jtag_access_complete = (i_cyc_o ==  1'b1) && ((i_ack_i ==  1'b1) || (i_err_i ==  1'b1)) && (jtag_access ==  1'b1);
75089always @(*)
75090begin
75091    case (jtag_address[1:0])
75092    2'b00: jtag_read_data = i_dat_i[ 31:24];
75093    2'b01: jtag_read_data = i_dat_i[ 23:16];
75094    2'b10: jtag_read_data = i_dat_i[ 15:8];
75095    2'b11: jtag_read_data = i_dat_i[ 7:0];
75096    endcase
75097end
75098
75099
75100
75101
75102
75103
75104
75105
75106
75107
75108   always @(posedge clk_i  )
75109     begin
75110	if (rst_i ==  1'b1)
75111	  begin
75112             i_cyc_o <=  1'b0;
75113             i_stb_o <=  1'b0;
75114             i_adr_o <= { 32{1'b0}};
75115             i_cti_o <=  3'b111;
75116             i_lock_o <=  1'b0;
75117             icache_refill_data <= { 32{1'b0}};
75118             icache_refill_ready <=  1'b0;
75119
75120
75121
75122
75123
75124
75125             i_we_o <=  1'b0;
75126             i_sel_o <= 4'b1111;
75127             jtag_access <=  1'b0;
75128
75129
75130	  end
75131	else
75132	  begin
75133             icache_refill_ready <=  1'b0;
75134
75135             if (i_cyc_o ==  1'b1)
75136               begin
75137
75138		  if ((i_ack_i ==  1'b1) || (i_err_i ==  1'b1))
75139		    begin
75140
75141
75142                       if (jtag_access ==  1'b1)
75143			 begin
75144			    i_cyc_o <=  1'b0;
75145			    i_stb_o <=  1'b0;
75146			    i_we_o <=  1'b0;
75147			    jtag_access <=  1'b0;
75148			 end
75149                       else
75150
75151
75152			 begin
75153			    if (last_word ==  1'b1)
75154			      begin
75155
75156				 i_cyc_o <=  1'b0;
75157				 i_stb_o <=  1'b0;
75158				 i_lock_o <=  1'b0;
75159			      end
75160
75161			    i_adr_o[addr_offset_msb:addr_offset_lsb] <= i_adr_o[addr_offset_msb:addr_offset_lsb] + 1'b1;
75162			    i_cti_o <= next_cycle_type;
75163
75164			    icache_refill_ready <=  1'b1;
75165			    icache_refill_data <= i_dat_i;
75166			 end
75167		    end
75168
75169
75170
75171
75172
75173
75174
75175
75176
75177
75178               end
75179             else
75180               begin
75181		  if ((icache_refill_request ==  1'b1) && (icache_refill_ready ==  1'b0))
75182		    begin
75183
75184
75185
75186                       i_sel_o <= 4'b1111;
75187
75188
75189                       i_adr_o <= {first_address, 2'b00};
75190                       i_cyc_o <=  1'b1;
75191                       i_stb_o <=  1'b1;
75192                       i_cti_o <= first_cycle_type;
75193
75194
75195
75196
75197
75198		    end
75199
75200
75201		  else
75202		    begin
75203                       if ((jtag_read_enable ==  1'b1) || (jtag_write_enable ==  1'b1))
75204			 begin
75205			    case (jtag_address[1:0])
75206			      2'b00: i_sel_o <= 4'b1000;
75207			      2'b01: i_sel_o <= 4'b0100;
75208			      2'b10: i_sel_o <= 4'b0010;
75209			      2'b11: i_sel_o <= 4'b0001;
75210			    endcase
75211			    i_adr_o <= jtag_address;
75212			    i_dat_o <= {4{jtag_write_data}};
75213			    i_cyc_o <=  1'b1;
75214			    i_stb_o <=  1'b1;
75215			    i_we_o <= jtag_write_enable;
75216			    i_cti_o <=  3'b111;
75217			    jtag_access <=  1'b1;
75218			 end
75219		    end
75220
75221
75222
75223
75224
75225
75226
75227
75228
75229
75230
75231
75232
75233               end
75234	  end
75235     end
75236
75237
75238
75239
75240
75241
75242
75243
75244
75245
75246
75247
75248
75249
75250
75251
75252
75253
75254
75255
75256
75257
75258
75259
75260
75261
75262
75263
75264
75265
75266
75267
75268
75269
75270
75271
75272
75273
75274
75275
75276
75277
75278
75279
75280
75281
75282
75283
75284
75285
75286
75287
75288
75289
75290
75291
75292
75293
75294
75295
75296
75297
75298
75299
75300
75301
75302
75303
75304
75305
75306
75307
75308
75309
75310
75311
75312
75313
75314
75315
75316
75317
75318   always @(posedge clk_i  )
75319     begin
75320	if (rst_i ==  1'b1)
75321	  begin
75322             instruction_d <= { 32{1'b0}};
75323
75324
75325
75326
75327	  end
75328	else
75329	  begin
75330             if (stall_d ==  1'b0)
75331               begin
75332		  instruction_d <= instruction_f;
75333
75334
75335
75336
75337               end
75338	  end
75339     end
75340
75341endmodule
75342
75343
75344
75345
75346
75347
75348
75349
75350
75351
75352
75353
75354
75355
75356
75357
75358
75359
75360
75361
75362
75363
75364
75365
75366
75367
75368
75369
75370
75371
75372
75373
75374
75375
75376
75377
75378
75379
75380
75381
75382
75383
75384
75385
75386
75387
75388
75389
75390
75391
75392
75393
75394
75395
75396
75397
75398
75399
75400
75401
75402
75403
75404
75405
75406
75407
75408
75409
75410
75411
75412
75413
75414
75415
75416
75417
75418
75419
75420
75421
75422
75423
75424
75425
75426
75427
75428
75429
75430
75431
75432
75433
75434
75435
75436
75437
75438
75439
75440
75441
75442
75443
75444
75445
75446
75447
75448
75449
75450
75451
75452
75453
75454
75455
75456
75457
75458
75459
75460
75461
75462
75463
75464
75465
75466
75467
75468
75469
75470
75471
75472
75473
75474
75475
75476
75477
75478
75479
75480
75481
75482
75483
75484
75485
75486
75487
75488
75489
75490
75491
75492
75493
75494
75495
75496
75497
75498
75499
75500
75501
75502
75503
75504
75505
75506
75507
75508
75509
75510
75511
75512
75513
75514
75515
75516
75517
75518
75519
75520
75521
75522
75523
75524
75525
75526
75527
75528
75529
75530
75531
75532
75533
75534
75535
75536
75537
75538
75539
75540
75541
75542
75543
75544
75545
75546
75547
75548
75549
75550
75551
75552
75553
75554
75555
75556
75557
75558
75559
75560
75561
75562
75563
75564
75565
75566
75567
75568
75569
75570
75571
75572
75573
75574
75575
75576
75577
75578
75579
75580
75581
75582
75583
75584
75585
75586
75587
75588
75589
75590
75591
75592
75593
75594
75595
75596
75597
75598
75599
75600
75601
75602
75603
75604
75605
75606
75607
75608
75609
75610
75611
75612
75613
75614
75615
75616
75617
75618
75619
75620
75621
75622
75623
75624
75625
75626
75627
75628
75629
75630
75631
75632
75633
75634
75635
75636
75637
75638
75639
75640
75641
75642
75643
75644
75645
75646
75647
75648
75649
75650
75651
75652
75653
75654
75655
75656
75657
75658
75659
75660
75661
75662
75663
75664
75665
75666
75667
75668
75669
75670
75671
75672
75673
75674
75675
75676
75677
75678
75679
75680
75681
75682
75683
75684
75685
75686
75687
75688
75689
75690
75691
75692
75693
75694
75695
75696
75697
75698
75699
75700
75701
75702
75703
75704
75705
75706
75707
75708
75709
75710
75711
75712
75713
75714
75715
75716
75717
75718
75719
75720
75721
75722
75723
75724
75725
75726
75727
75728
75729
75730
75731
75732
75733
75734
75735
75736
75737
75738
75739
75740
75741
75742
75743
75744
75745
75746
75747
75748
75749
75750
75751
75752module lm32_jtag_medium_icache_debug (
75753
75754    clk_i,
75755    rst_i,
75756    jtag_clk,
75757    jtag_update,
75758    jtag_reg_q,
75759    jtag_reg_addr_q,
75760
75761
75762    csr,
75763    csr_write_enable,
75764    csr_write_data,
75765    stall_x,
75766
75767
75768
75769
75770    jtag_read_data,
75771    jtag_access_complete,
75772
75773
75774
75775
75776    exception_q_w,
75777
75778
75779
75780
75781
75782    jtx_csr_read_data,
75783    jrx_csr_read_data,
75784
75785
75786
75787
75788    jtag_csr_write_enable,
75789    jtag_csr_write_data,
75790    jtag_csr,
75791    jtag_read_enable,
75792    jtag_write_enable,
75793    jtag_write_data,
75794    jtag_address,
75795
75796
75797
75798
75799    jtag_break,
75800    jtag_reset,
75801
75802
75803    jtag_reg_d,
75804    jtag_reg_addr_d
75805    );
75806
75807
75808
75809
75810
75811input clk_i;
75812input rst_i;
75813
75814input jtag_clk;
75815input jtag_update;
75816input [ 7:0] jtag_reg_q;
75817input [2:0] jtag_reg_addr_q;
75818
75819
75820
75821input [ (5-1):0] csr;
75822input csr_write_enable;
75823input [ (32-1):0] csr_write_data;
75824input stall_x;
75825
75826
75827
75828
75829input [ 7:0] jtag_read_data;
75830input jtag_access_complete;
75831
75832
75833
75834
75835input exception_q_w;
75836
75837
75838
75839
75840
75841
75842
75843
75844
75845output [ (32-1):0] jtx_csr_read_data;
75846wire   [ (32-1):0] jtx_csr_read_data;
75847output [ (32-1):0] jrx_csr_read_data;
75848wire   [ (32-1):0] jrx_csr_read_data;
75849
75850
75851
75852
75853output jtag_csr_write_enable;
75854reg    jtag_csr_write_enable;
75855output [ (32-1):0] jtag_csr_write_data;
75856wire   [ (32-1):0] jtag_csr_write_data;
75857output [ (5-1):0] jtag_csr;
75858wire   [ (5-1):0] jtag_csr;
75859output jtag_read_enable;
75860reg    jtag_read_enable;
75861output jtag_write_enable;
75862reg    jtag_write_enable;
75863output [ 7:0] jtag_write_data;
75864wire   [ 7:0] jtag_write_data;
75865output [ (32-1):0] jtag_address;
75866wire   [ (32-1):0] jtag_address;
75867
75868
75869
75870
75871output jtag_break;
75872reg    jtag_break;
75873output jtag_reset;
75874reg    jtag_reset;
75875
75876
75877output [ 7:0] jtag_reg_d;
75878reg    [ 7:0] jtag_reg_d;
75879output [2:0] jtag_reg_addr_d;
75880wire   [2:0] jtag_reg_addr_d;
75881
75882
75883
75884
75885
75886reg rx_update;
75887reg rx_update_r;
75888reg rx_update_r_r;
75889reg rx_update_r_r_r;
75890
75891
75892
75893wire [ 7:0] rx_byte;
75894wire [2:0] rx_addr;
75895
75896
75897
75898reg [ 7:0] uart_tx_byte;
75899reg uart_tx_valid;
75900reg [ 7:0] uart_rx_byte;
75901reg uart_rx_valid;
75902
75903
75904
75905reg [ 3:0] command;
75906
75907
75908reg [ 7:0] jtag_byte_0;
75909reg [ 7:0] jtag_byte_1;
75910reg [ 7:0] jtag_byte_2;
75911reg [ 7:0] jtag_byte_3;
75912reg [ 7:0] jtag_byte_4;
75913reg processing;
75914
75915
75916
75917reg [ 3:0] state;
75918
75919
75920
75921
75922
75923
75924
75925assign jtag_csr_write_data = {jtag_byte_0, jtag_byte_1, jtag_byte_2, jtag_byte_3};
75926assign jtag_csr = jtag_byte_4[ (5-1):0];
75927assign jtag_address = {jtag_byte_0, jtag_byte_1, jtag_byte_2, jtag_byte_3};
75928assign jtag_write_data = jtag_byte_4;
75929
75930
75931
75932
75933
75934
75935assign jtag_reg_addr_d[1:0] = {uart_rx_valid, uart_tx_valid};
75936
75937
75938
75939
75940
75941
75942assign jtag_reg_addr_d[2] = processing;
75943
75944
75945
75946
75947
75948
75949
75950assign jtx_csr_read_data = {{ 32-9{1'b0}}, uart_tx_valid, 8'h00};
75951assign jrx_csr_read_data = {{ 32-9{1'b0}}, uart_rx_valid, uart_rx_byte};
75952
75953
75954
75955
75956
75957
75958
75959assign rx_byte = jtag_reg_q;
75960assign rx_addr = jtag_reg_addr_q;
75961
75962
75963
75964always @(posedge clk_i  )
75965begin
75966    if (rst_i ==  1'b1)
75967    begin
75968        rx_update <= 1'b0;
75969        rx_update_r <= 1'b0;
75970        rx_update_r_r <= 1'b0;
75971        rx_update_r_r_r <= 1'b0;
75972    end
75973    else
75974    begin
75975        rx_update <= jtag_update;
75976        rx_update_r <= rx_update;
75977        rx_update_r_r <= rx_update_r;
75978        rx_update_r_r_r <= rx_update_r_r;
75979    end
75980end
75981
75982
75983always @(posedge clk_i  )
75984begin
75985    if (rst_i ==  1'b1)
75986    begin
75987        state <=  4'h0;
75988        command <= 4'b0000;
75989        jtag_reg_d <= 8'h00;
75990
75991
75992        processing <=  1'b0;
75993        jtag_csr_write_enable <=  1'b0;
75994        jtag_read_enable <=  1'b0;
75995        jtag_write_enable <=  1'b0;
75996
75997
75998
75999
76000        jtag_break <=  1'b0;
76001        jtag_reset <=  1'b0;
76002
76003
76004
76005
76006        uart_tx_byte <= 8'h00;
76007        uart_tx_valid <=  1'b0;
76008        uart_rx_byte <= 8'h00;
76009        uart_rx_valid <=  1'b0;
76010
76011
76012    end
76013    else
76014    begin
76015
76016
76017        if ((csr_write_enable ==  1'b1) && (stall_x ==  1'b0))
76018        begin
76019            case (csr)
76020             5'he:
76021            begin
76022
76023                uart_tx_byte <= csr_write_data[ 7:0];
76024                uart_tx_valid <=  1'b1;
76025            end
76026             5'hf:
76027            begin
76028
76029                uart_rx_valid <=  1'b0;
76030            end
76031            endcase
76032        end
76033
76034
76035
76036
76037
76038        if (exception_q_w ==  1'b1)
76039        begin
76040            jtag_break <=  1'b0;
76041            jtag_reset <=  1'b0;
76042        end
76043
76044
76045        case (state)
76046         4'h0:
76047        begin
76048
76049            if ((~rx_update_r_r_r & rx_update_r_r) ==  1'b1)
76050            begin
76051                command <= rx_byte[7:4];
76052                case (rx_addr)
76053
76054
76055                 3'b000:
76056                begin
76057                    case (rx_byte[7:4])
76058
76059
76060                     4'b0001:
76061                        state <=  4'h1;
76062                     4'b0011:
76063                    begin
76064                        {jtag_byte_2, jtag_byte_3} <= {jtag_byte_2, jtag_byte_3} + 1'b1;
76065                        state <=  4'h6;
76066                    end
76067                     4'b0010:
76068                        state <=  4'h1;
76069                     4'b0100:
76070                    begin
76071                        {jtag_byte_2, jtag_byte_3} <= {jtag_byte_2, jtag_byte_3} + 1'b1;
76072                        state <= 5;
76073                    end
76074                     4'b0101:
76075                        state <=  4'h1;
76076
76077
76078                     4'b0110:
76079                    begin
76080
76081
76082                        uart_rx_valid <=  1'b0;
76083                        uart_tx_valid <=  1'b0;
76084
76085
76086                        jtag_break <=  1'b1;
76087                    end
76088                     4'b0111:
76089                    begin
76090
76091
76092                        uart_rx_valid <=  1'b0;
76093                        uart_tx_valid <=  1'b0;
76094
76095
76096                        jtag_reset <=  1'b1;
76097                    end
76098                    endcase
76099                end
76100
76101
76102
76103
76104                 3'b001:
76105                begin
76106                    uart_rx_byte <= rx_byte;
76107                    uart_rx_valid <=  1'b1;
76108                end
76109                 3'b010:
76110                begin
76111                    jtag_reg_d <= uart_tx_byte;
76112                    uart_tx_valid <=  1'b0;
76113                end
76114
76115
76116                default:
76117                    ;
76118                endcase
76119            end
76120        end
76121
76122
76123         4'h1:
76124        begin
76125            if ((~rx_update_r_r_r & rx_update_r_r) ==  1'b1)
76126            begin
76127                jtag_byte_0 <= rx_byte;
76128                state <=  4'h2;
76129            end
76130        end
76131         4'h2:
76132        begin
76133            if ((~rx_update_r_r_r & rx_update_r_r) ==  1'b1)
76134            begin
76135                jtag_byte_1 <= rx_byte;
76136                state <=  4'h3;
76137            end
76138        end
76139         4'h3:
76140        begin
76141            if ((~rx_update_r_r_r & rx_update_r_r) ==  1'b1)
76142            begin
76143                jtag_byte_2 <= rx_byte;
76144                state <=  4'h4;
76145            end
76146        end
76147         4'h4:
76148        begin
76149            if ((~rx_update_r_r_r & rx_update_r_r) ==  1'b1)
76150            begin
76151                jtag_byte_3 <= rx_byte;
76152                if (command ==  4'b0001)
76153                    state <=  4'h6;
76154                else
76155                    state <=  4'h5;
76156            end
76157        end
76158         4'h5:
76159        begin
76160            if ((~rx_update_r_r_r & rx_update_r_r) ==  1'b1)
76161            begin
76162                jtag_byte_4 <= rx_byte;
76163                state <=  4'h6;
76164            end
76165        end
76166         4'h6:
76167        begin
76168            case (command)
76169             4'b0001,
76170             4'b0011:
76171            begin
76172                jtag_read_enable <=  1'b1;
76173                processing <=  1'b1;
76174                state <=  4'h7;
76175            end
76176             4'b0010,
76177             4'b0100:
76178            begin
76179                jtag_write_enable <=  1'b1;
76180                processing <=  1'b1;
76181                state <=  4'h7;
76182            end
76183             4'b0101:
76184            begin
76185                jtag_csr_write_enable <=  1'b1;
76186                processing <=  1'b1;
76187                state <=  4'h8;
76188            end
76189            endcase
76190        end
76191         4'h7:
76192        begin
76193            if (jtag_access_complete ==  1'b1)
76194            begin
76195                jtag_read_enable <=  1'b0;
76196                jtag_reg_d <= jtag_read_data;
76197                jtag_write_enable <=  1'b0;
76198                processing <=  1'b0;
76199                state <=  4'h0;
76200            end
76201        end
76202         4'h8:
76203        begin
76204            jtag_csr_write_enable <=  1'b0;
76205            processing <=  1'b0;
76206            state <=  4'h0;
76207        end
76208
76209
76210        endcase
76211    end
76212end
76213
76214endmodule
76215
76216
76217
76218
76219
76220
76221
76222
76223
76224
76225
76226
76227
76228
76229
76230
76231
76232
76233
76234
76235
76236
76237
76238
76239
76240
76241
76242
76243
76244
76245
76246
76247
76248
76249
76250
76251
76252
76253
76254
76255
76256
76257
76258
76259
76260
76261
76262
76263
76264
76265
76266
76267
76268
76269
76270
76271
76272
76273
76274
76275
76276
76277
76278
76279
76280
76281
76282
76283
76284
76285
76286
76287
76288
76289
76290
76291
76292
76293
76294
76295
76296
76297
76298
76299
76300
76301
76302
76303
76304
76305
76306
76307
76308
76309
76310
76311
76312
76313
76314
76315
76316
76317
76318
76319
76320
76321
76322
76323
76324
76325
76326
76327
76328
76329
76330
76331
76332
76333
76334
76335
76336
76337
76338
76339
76340
76341
76342
76343
76344
76345
76346
76347
76348
76349
76350
76351
76352
76353
76354
76355
76356
76357
76358
76359
76360
76361
76362
76363
76364
76365
76366
76367
76368
76369
76370
76371
76372
76373
76374
76375
76376
76377
76378
76379
76380
76381
76382
76383
76384
76385
76386
76387
76388
76389
76390
76391
76392
76393
76394
76395
76396
76397
76398
76399
76400
76401
76402
76403
76404
76405
76406
76407
76408
76409
76410
76411
76412
76413
76414
76415
76416
76417
76418
76419
76420
76421
76422
76423
76424
76425
76426
76427
76428
76429
76430
76431
76432
76433
76434
76435
76436
76437
76438
76439
76440
76441
76442
76443
76444
76445
76446
76447
76448
76449
76450
76451
76452
76453
76454
76455
76456
76457
76458
76459
76460
76461
76462
76463
76464
76465
76466
76467
76468
76469
76470
76471
76472
76473
76474
76475
76476
76477
76478
76479
76480
76481
76482
76483
76484
76485
76486
76487
76488
76489
76490
76491
76492
76493
76494
76495
76496
76497
76498
76499
76500
76501
76502
76503
76504
76505
76506
76507
76508
76509
76510
76511
76512
76513
76514
76515
76516
76517
76518
76519
76520
76521
76522
76523
76524
76525
76526
76527
76528
76529
76530
76531
76532
76533
76534
76535
76536
76537
76538
76539
76540
76541
76542
76543
76544
76545
76546
76547
76548
76549
76550
76551
76552
76553
76554
76555
76556
76557
76558
76559
76560
76561
76562
76563
76564
76565
76566
76567
76568
76569
76570
76571
76572
76573
76574
76575
76576
76577
76578module lm32_interrupt_medium_icache_debug (
76579
76580    clk_i,
76581    rst_i,
76582
76583    interrupt,
76584
76585    stall_x,
76586
76587
76588    non_debug_exception,
76589    debug_exception,
76590
76591
76592
76593
76594    eret_q_x,
76595
76596
76597    bret_q_x,
76598
76599
76600    csr,
76601    csr_write_data,
76602    csr_write_enable,
76603
76604    interrupt_exception,
76605
76606    csr_read_data
76607    );
76608
76609
76610
76611
76612
76613parameter interrupts =  32;
76614
76615
76616
76617
76618
76619input clk_i;
76620input rst_i;
76621
76622input [interrupts-1:0] interrupt;
76623
76624input stall_x;
76625
76626
76627
76628input non_debug_exception;
76629input debug_exception;
76630
76631
76632
76633
76634input eret_q_x;
76635
76636
76637input bret_q_x;
76638
76639
76640
76641input [ (5-1):0] csr;
76642input [ (32-1):0] csr_write_data;
76643input csr_write_enable;
76644
76645
76646
76647
76648
76649output interrupt_exception;
76650wire   interrupt_exception;
76651
76652output [ (32-1):0] csr_read_data;
76653reg    [ (32-1):0] csr_read_data;
76654
76655
76656
76657
76658
76659wire [interrupts-1:0] asserted;
76660
76661wire [interrupts-1:0] interrupt_n_exception;
76662
76663
76664
76665reg ie;
76666reg eie;
76667
76668
76669reg bie;
76670
76671
76672reg [interrupts-1:0] ip;
76673reg [interrupts-1:0] im;
76674
76675
76676
76677
76678
76679
76680assign interrupt_n_exception = ip & im;
76681
76682
76683assign interrupt_exception = (|interrupt_n_exception) & ie;
76684
76685
76686assign asserted = ip | interrupt;
76687
76688generate
76689    if (interrupts > 1)
76690    begin
76691
76692always @(*)
76693begin
76694    case (csr)
76695     5'h0:  csr_read_data = {{ 32-3{1'b0}},
76696
76697
76698                                    bie,
76699
76700
76701
76702
76703                                    eie,
76704                                    ie
76705                                   };
76706     5'h2:  csr_read_data = ip;
76707     5'h1:  csr_read_data = im;
76708    default:       csr_read_data = { 32{1'bx}};
76709    endcase
76710end
76711    end
76712    else
76713    begin
76714
76715always @(*)
76716begin
76717    case (csr)
76718     5'h0:  csr_read_data = {{ 32-3{1'b0}},
76719
76720
76721                                    bie,
76722
76723
76724
76725
76726                                    eie,
76727                                    ie
76728                                   };
76729     5'h2:  csr_read_data = ip;
76730    default:       csr_read_data = { 32{1'bx}};
76731      endcase
76732end
76733    end
76734endgenerate
76735
76736
76737
76738
76739
76740
76741
76742   reg [ 10:0] eie_delay  = 0;
76743
76744
76745generate
76746
76747
76748    if (interrupts > 1)
76749    begin
76750
76751always @(posedge clk_i  )
76752  begin
76753    if (rst_i ==  1'b1)
76754    begin
76755        ie                   <=  1'b0;
76756        eie                  <=  1'b0;
76757
76758
76759        bie                  <=  1'b0;
76760
76761
76762        im                   <= {interrupts{1'b0}};
76763        ip                   <= {interrupts{1'b0}};
76764       eie_delay             <= 0;
76765
76766    end
76767    else
76768    begin
76769
76770        ip                   <= asserted;
76771
76772
76773        if (non_debug_exception ==  1'b1)
76774        begin
76775
76776            eie              <= ie;
76777            ie               <=  1'b0;
76778        end
76779        else if (debug_exception ==  1'b1)
76780        begin
76781
76782            bie              <= ie;
76783            ie               <=  1'b0;
76784        end
76785
76786
76787
76788
76789
76790
76791
76792
76793
76794        else if (stall_x ==  1'b0)
76795        begin
76796
76797           if(eie_delay[0])
76798             ie              <= eie;
76799
76800           eie_delay         <= {1'b0, eie_delay[ 10:1]};
76801
76802            if (eret_q_x ==  1'b1) begin
76803
76804               eie_delay[ 10] <=  1'b1;
76805               eie_delay[ 10-1:0] <= 0;
76806            end
76807
76808
76809
76810
76811
76812            else if (bret_q_x ==  1'b1)
76813
76814                ie      <= bie;
76815
76816
76817            else if (csr_write_enable ==  1'b1)
76818            begin
76819
76820                if (csr ==  5'h0)
76821                begin
76822                    ie  <= csr_write_data[0];
76823                    eie <= csr_write_data[1];
76824
76825
76826                    bie <= csr_write_data[2];
76827
76828
76829                end
76830                if (csr ==  5'h1)
76831                    im  <= csr_write_data[interrupts-1:0];
76832                if (csr ==  5'h2)
76833                    ip  <= asserted & ~csr_write_data[interrupts-1:0];
76834            end
76835        end
76836    end
76837end
76838    end
76839else
76840    begin
76841
76842always @(posedge clk_i  )
76843  begin
76844    if (rst_i ==  1'b1)
76845    begin
76846        ie              <=  1'b0;
76847        eie             <=  1'b0;
76848
76849
76850        bie             <=  1'b0;
76851
76852
76853        ip              <= {interrupts{1'b0}};
76854       eie_delay        <= 0;
76855    end
76856    else
76857    begin
76858
76859        ip              <= asserted;
76860
76861
76862        if (non_debug_exception ==  1'b1)
76863        begin
76864
76865            eie         <= ie;
76866            ie          <=  1'b0;
76867        end
76868        else if (debug_exception ==  1'b1)
76869        begin
76870
76871            bie         <= ie;
76872            ie          <=  1'b0;
76873        end
76874
76875
76876
76877
76878
76879
76880
76881
76882
76883        else if (stall_x ==  1'b0)
76884          begin
76885
76886             if(eie_delay[0])
76887               ie              <= eie;
76888
76889             eie_delay         <= {1'b0, eie_delay[ 10:1]};
76890
76891             if (eret_q_x ==  1'b1) begin
76892
76893                eie_delay[ 10] <=  1'b1;
76894                eie_delay[ 10-1:0] <= 0;
76895             end
76896
76897
76898
76899            else if (bret_q_x ==  1'b1)
76900
76901                ie      <= bie;
76902
76903
76904            else if (csr_write_enable ==  1'b1)
76905            begin
76906
76907                if (csr ==  5'h0)
76908                begin
76909                    ie  <= csr_write_data[0];
76910                    eie <= csr_write_data[1];
76911
76912
76913                    bie <= csr_write_data[2];
76914
76915
76916                end
76917                if (csr ==  5'h2)
76918                    ip  <= asserted & ~csr_write_data[interrupts-1:0];
76919            end
76920        end
76921    end
76922end
76923    end
76924endgenerate
76925
76926endmodule
76927
76928
76929
76930
76931
76932
76933
76934
76935
76936
76937
76938
76939
76940
76941
76942
76943
76944
76945
76946
76947
76948
76949
76950
76951
76952
76953
76954
76955
76956
76957
76958
76959
76960
76961
76962
76963
76964
76965
76966
76967
76968
76969
76970
76971
76972
76973
76974
76975
76976
76977
76978
76979
76980
76981
76982
76983
76984
76985
76986
76987
76988
76989
76990
76991
76992
76993
76994
76995
76996
76997
76998
76999
77000
77001
77002
77003
77004
77005
77006
77007
77008
77009
77010
77011
77012
77013
77014
77015
77016
77017
77018
77019
77020
77021
77022
77023
77024
77025
77026
77027
77028
77029
77030
77031
77032
77033
77034
77035
77036
77037
77038
77039
77040
77041
77042
77043
77044
77045
77046
77047
77048
77049
77050
77051
77052
77053
77054
77055
77056
77057
77058
77059
77060
77061
77062
77063
77064
77065
77066
77067
77068
77069
77070
77071
77072
77073
77074
77075
77076
77077
77078
77079
77080
77081
77082
77083
77084
77085
77086
77087
77088
77089
77090
77091
77092
77093
77094
77095
77096
77097
77098
77099
77100
77101
77102
77103
77104
77105
77106
77107
77108
77109
77110
77111
77112
77113
77114
77115
77116
77117
77118
77119
77120
77121
77122
77123
77124
77125
77126
77127
77128
77129
77130
77131
77132
77133
77134
77135
77136
77137
77138
77139
77140
77141
77142
77143
77144
77145
77146
77147
77148
77149
77150
77151
77152
77153
77154
77155
77156
77157
77158
77159
77160
77161
77162
77163
77164
77165
77166
77167
77168
77169
77170
77171
77172
77173
77174
77175
77176
77177
77178
77179
77180
77181
77182
77183
77184
77185
77186
77187
77188
77189
77190
77191
77192
77193
77194
77195
77196
77197
77198
77199
77200
77201
77202
77203
77204
77205
77206
77207
77208
77209
77210
77211
77212
77213
77214
77215
77216
77217
77218
77219
77220
77221
77222
77223
77224
77225
77226
77227
77228
77229
77230
77231
77232
77233
77234
77235
77236
77237
77238
77239
77240
77241
77242
77243
77244
77245
77246
77247
77248
77249
77250
77251
77252
77253
77254
77255
77256
77257
77258
77259
77260
77261
77262
77263
77264
77265
77266
77267
77268
77269
77270
77271
77272
77273
77274
77275
77276
77277
77278
77279
77280
77281
77282
77283
77284
77285
77286
77287
77288
77289
77290
77291
77292
77293
77294
77295
77296
77297
77298
77299
77300
77301
77302
77303
77304
77305
77306
77307
77308
77309
77310
77311
77312
77313
77314
77315
77316
77317
77318
77319
77320
77321
77322
77323
77324
77325
77326
77327
77328
77329
77330
77331
77332
77333
77334
77335
77336
77337
77338
77339
77340
77341
77342
77343
77344
77345
77346
77347
77348
77349
77350
77351
77352
77353
77354
77355
77356
77357
77358
77359
77360
77361
77362
77363
77364
77365
77366
77367
77368
77369
77370
77371
77372
77373
77374
77375
77376
77377
77378
77379
77380
77381
77382
77383
77384
77385
77386
77387
77388
77389
77390
77391
77392
77393
77394
77395
77396
77397
77398
77399
77400
77401
77402
77403
77404
77405
77406
77407
77408
77409
77410
77411
77412
77413
77414
77415
77416
77417
77418
77419
77420
77421
77422
77423
77424
77425
77426
77427
77428
77429
77430
77431
77432
77433
77434
77435
77436
77437
77438
77439
77440
77441
77442
77443
77444
77445
77446
77447
77448
77449
77450
77451
77452
77453
77454
77455
77456
77457
77458
77459
77460
77461
77462
77463
77464
77465
77466
77467
77468
77469
77470
77471
77472
77473
77474
77475
77476
77477
77478
77479
77480
77481
77482
77483
77484
77485
77486
77487
77488
77489
77490
77491
77492
77493module lm32_top_minimal (
77494
77495    clk_i,
77496    rst_i,
77497
77498
77499    interrupt,
77500
77501
77502
77503
77504
77505
77506
77507
77508
77509
77510    I_DAT_I,
77511    I_ACK_I,
77512    I_ERR_I,
77513    I_RTY_I,
77514
77515
77516
77517    D_DAT_I,
77518    D_ACK_I,
77519    D_ERR_I,
77520    D_RTY_I,
77521
77522
77523
77524
77525
77526
77527
77528
77529
77530
77531
77532    I_DAT_O,
77533    I_ADR_O,
77534    I_CYC_O,
77535    I_SEL_O,
77536    I_STB_O,
77537    I_WE_O,
77538    I_CTI_O,
77539    I_LOCK_O,
77540    I_BTE_O,
77541
77542
77543
77544    D_DAT_O,
77545    D_ADR_O,
77546    D_CYC_O,
77547    D_SEL_O,
77548    D_STB_O,
77549    D_WE_O,
77550    D_CTI_O,
77551    D_LOCK_O,
77552    D_BTE_O
77553    );
77554
77555parameter eba_reset = 32'h00000000;
77556parameter sdb_address = 32'h00000000;
77557
77558
77559
77560
77561input clk_i;
77562input rst_i;
77563
77564
77565input [ (32-1):0] interrupt;
77566
77567
77568
77569
77570
77571
77572
77573
77574
77575
77576input [ (32-1):0] I_DAT_I;
77577input I_ACK_I;
77578input I_ERR_I;
77579input I_RTY_I;
77580
77581
77582
77583input [ (32-1):0] D_DAT_I;
77584input D_ACK_I;
77585input D_ERR_I;
77586input D_RTY_I;
77587
77588
77589
77590
77591
77592
77593
77594
77595
77596
77597
77598
77599
77600
77601
77602
77603
77604
77605
77606output [ (32-1):0] I_DAT_O;
77607wire   [ (32-1):0] I_DAT_O;
77608output [ (32-1):0] I_ADR_O;
77609wire   [ (32-1):0] I_ADR_O;
77610output I_CYC_O;
77611wire   I_CYC_O;
77612output [ (4-1):0] I_SEL_O;
77613wire   [ (4-1):0] I_SEL_O;
77614output I_STB_O;
77615wire   I_STB_O;
77616output I_WE_O;
77617wire   I_WE_O;
77618output [ (3-1):0] I_CTI_O;
77619wire   [ (3-1):0] I_CTI_O;
77620output I_LOCK_O;
77621wire   I_LOCK_O;
77622output [ (2-1):0] I_BTE_O;
77623wire   [ (2-1):0] I_BTE_O;
77624
77625
77626
77627output [ (32-1):0] D_DAT_O;
77628wire   [ (32-1):0] D_DAT_O;
77629output [ (32-1):0] D_ADR_O;
77630wire   [ (32-1):0] D_ADR_O;
77631output D_CYC_O;
77632wire   D_CYC_O;
77633output [ (4-1):0] D_SEL_O;
77634wire   [ (4-1):0] D_SEL_O;
77635output D_STB_O;
77636wire   D_STB_O;
77637output D_WE_O;
77638wire   D_WE_O;
77639output [ (3-1):0] D_CTI_O;
77640wire   [ (3-1):0] D_CTI_O;
77641output D_LOCK_O;
77642wire   D_LOCK_O;
77643output [ (2-1):0] D_BTE_O;
77644wire   [ (2-1):0] D_BTE_O;
77645
77646
77647
77648
77649
77650
77651
77652
77653
77654
77655
77656
77657
77658
77659
77660
77661
77662
77663
77664
77665
77666
77667
77668
77669
77670
77671
77672
77673
77674
77675
77676
77677
77678
77679
77680
77681
77682
77683
77684
77685
77686
77687
77688
77689
77690
77691
77692
77693
77694
77695
77696
77697
77698
77699
77700
77701
77702
77703
77704
77705
77706
77707
77708
77709
77710function integer clogb2;
77711input [31:0] value;
77712begin
77713   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
77714        value = value >> 1;
77715end
77716endfunction
77717
77718function integer clogb2_v1;
77719input [31:0] value;
77720reg   [31:0] i;
77721reg   [31:0] temp;
77722begin
77723   temp = 0;
77724   i    = 0;
77725   for (i = 0; temp < value; i = i + 1)
77726	temp = 1<<i;
77727   clogb2_v1 = i-1;
77728end
77729endfunction
77730
77731
77732
77733
77734
77735
77736
77737
77738lm32_cpu_minimal
77739	#(
77740		.eba_reset(eba_reset),
77741    .sdb_address(sdb_address)
77742	) cpu (
77743
77744    .clk_i                 (clk_i),
77745
77746
77747
77748
77749    .rst_i                 (rst_i),
77750
77751
77752
77753    .interrupt             (interrupt),
77754
77755
77756
77757
77758
77759
77760
77761
77762
77763
77764
77765
77766
77767
77768
77769
77770
77771
77772
77773    .I_DAT_I               (I_DAT_I),
77774    .I_ACK_I               (I_ACK_I),
77775    .I_ERR_I               (I_ERR_I),
77776    .I_RTY_I               (I_RTY_I),
77777
77778
77779
77780    .D_DAT_I               (D_DAT_I),
77781    .D_ACK_I               (D_ACK_I),
77782    .D_ERR_I               (D_ERR_I),
77783    .D_RTY_I               (D_RTY_I),
77784
77785
77786
77787
77788
77789
77790
77791
77792
77793
77794
77795
77796
77797
77798
77799
77800
77801
77802
77803
77804
77805
77806
77807
77808
77809
77810
77811    .I_DAT_O               (I_DAT_O),
77812    .I_ADR_O               (I_ADR_O),
77813    .I_CYC_O               (I_CYC_O),
77814    .I_SEL_O               (I_SEL_O),
77815    .I_STB_O               (I_STB_O),
77816    .I_WE_O                (I_WE_O),
77817    .I_CTI_O               (I_CTI_O),
77818    .I_LOCK_O              (I_LOCK_O),
77819    .I_BTE_O               (I_BTE_O),
77820
77821
77822
77823    .D_DAT_O               (D_DAT_O),
77824    .D_ADR_O               (D_ADR_O),
77825    .D_CYC_O               (D_CYC_O),
77826    .D_SEL_O               (D_SEL_O),
77827    .D_STB_O               (D_STB_O),
77828    .D_WE_O                (D_WE_O),
77829    .D_CTI_O               (D_CTI_O),
77830    .D_LOCK_O              (D_LOCK_O),
77831    .D_BTE_O               (D_BTE_O)
77832    );
77833
77834
77835
77836
77837
77838
77839
77840
77841
77842
77843
77844
77845
77846
77847
77848
77849
77850endmodule
77851
77852
77853
77854
77855
77856
77857
77858
77859
77860
77861
77862
77863
77864
77865
77866
77867
77868
77869
77870
77871
77872
77873
77874
77875
77876
77877
77878
77879
77880
77881
77882
77883
77884
77885
77886
77887
77888
77889
77890
77891
77892
77893
77894
77895
77896
77897
77898
77899
77900
77901
77902
77903
77904
77905
77906
77907
77908
77909
77910
77911
77912
77913
77914
77915
77916
77917
77918
77919
77920
77921
77922
77923
77924
77925
77926
77927
77928
77929
77930
77931
77932
77933
77934
77935
77936
77937
77938
77939
77940
77941
77942
77943
77944
77945
77946
77947
77948
77949
77950
77951
77952
77953
77954
77955
77956
77957
77958
77959
77960
77961
77962
77963
77964
77965
77966
77967
77968
77969
77970
77971
77972
77973
77974
77975
77976
77977
77978
77979
77980
77981
77982
77983
77984
77985
77986
77987
77988
77989
77990
77991
77992
77993
77994
77995
77996
77997
77998
77999
78000
78001
78002
78003
78004
78005
78006
78007
78008
78009
78010
78011
78012
78013
78014
78015
78016
78017
78018
78019
78020
78021
78022
78023
78024
78025
78026
78027
78028
78029
78030
78031
78032
78033
78034
78035
78036
78037
78038
78039
78040
78041
78042
78043
78044
78045
78046
78047
78048
78049
78050
78051
78052
78053
78054
78055
78056
78057
78058
78059
78060
78061
78062
78063
78064
78065
78066
78067
78068
78069
78070
78071
78072
78073
78074
78075
78076
78077
78078
78079
78080
78081
78082
78083
78084
78085
78086
78087
78088
78089
78090
78091
78092
78093
78094
78095
78096
78097
78098
78099
78100
78101
78102
78103
78104
78105
78106
78107
78108
78109
78110
78111
78112
78113
78114
78115
78116
78117
78118
78119
78120
78121
78122
78123
78124
78125
78126
78127
78128
78129
78130
78131
78132
78133
78134
78135
78136
78137
78138
78139
78140
78141
78142
78143
78144
78145
78146
78147
78148
78149
78150
78151
78152
78153
78154
78155
78156
78157
78158
78159
78160
78161
78162
78163
78164
78165
78166
78167
78168
78169
78170
78171
78172
78173
78174
78175
78176
78177
78178
78179
78180
78181
78182
78183
78184
78185
78186
78187
78188
78189
78190
78191
78192
78193
78194
78195
78196
78197
78198
78199
78200
78201
78202
78203
78204
78205
78206
78207
78208
78209
78210
78211
78212
78213
78214
78215
78216
78217
78218
78219
78220
78221
78222
78223
78224
78225
78226module lm32_mc_arithmetic_minimal (
78227
78228    clk_i,
78229    rst_i,
78230    stall_d,
78231    kill_x,
78232
78233
78234
78235
78236
78237
78238
78239
78240
78241
78242
78243
78244
78245
78246
78247    operand_0_d,
78248    operand_1_d,
78249
78250    result_x,
78251
78252
78253
78254
78255    stall_request_x
78256    );
78257
78258
78259
78260
78261
78262input clk_i;
78263input rst_i;
78264input stall_d;
78265input kill_x;
78266
78267
78268
78269
78270
78271
78272
78273
78274
78275
78276
78277
78278
78279
78280
78281input [ (32-1):0] operand_0_d;
78282input [ (32-1):0] operand_1_d;
78283
78284
78285
78286
78287
78288output [ (32-1):0] result_x;
78289reg    [ (32-1):0] result_x;
78290
78291
78292
78293
78294
78295output stall_request_x;
78296wire   stall_request_x;
78297
78298
78299
78300
78301
78302reg [ (32-1):0] p;
78303reg [ (32-1):0] a;
78304reg [ (32-1):0] b;
78305
78306
78307
78308
78309
78310reg [ 2:0] state;
78311reg [5:0] cycles;
78312
78313
78314
78315
78316
78317
78318
78319
78320
78321
78322
78323
78324assign stall_request_x = state !=  3'b000;
78325
78326
78327
78328
78329
78330
78331
78332
78333
78334
78335
78336
78337
78338
78339
78340
78341
78342
78343always @(posedge clk_i  )
78344begin
78345    if (rst_i ==  1'b1)
78346    begin
78347        cycles <= {6{1'b0}};
78348        p <= { 32{1'b0}};
78349        a <= { 32{1'b0}};
78350        b <= { 32{1'b0}};
78351
78352
78353
78354
78355
78356
78357
78358
78359        result_x <= { 32{1'b0}};
78360        state <=  3'b000;
78361    end
78362    else
78363    begin
78364
78365
78366
78367
78368        case (state)
78369         3'b000:
78370        begin
78371            if (stall_d ==  1'b0)
78372            begin
78373                cycles <=  32;
78374                p <= 32'b0;
78375                a <= operand_0_d;
78376                b <= operand_1_d;
78377
78378
78379
78380
78381
78382
78383
78384
78385
78386
78387
78388
78389
78390
78391
78392
78393
78394
78395
78396
78397
78398
78399
78400
78401
78402
78403
78404
78405
78406
78407
78408            end
78409        end
78410
78411
78412
78413
78414
78415
78416
78417
78418
78419
78420
78421
78422
78423
78424
78425
78426
78427
78428
78429
78430
78431
78432
78433
78434
78435
78436
78437
78438
78439
78440
78441
78442
78443
78444
78445
78446
78447
78448
78449
78450
78451
78452
78453
78454
78455
78456
78457
78458
78459
78460
78461
78462
78463
78464
78465
78466
78467
78468
78469
78470
78471
78472
78473
78474
78475
78476
78477
78478
78479
78480
78481
78482
78483
78484
78485
78486
78487
78488        endcase
78489    end
78490end
78491
78492endmodule
78493
78494
78495
78496
78497
78498
78499
78500
78501
78502
78503
78504
78505
78506
78507
78508
78509
78510
78511
78512
78513
78514
78515
78516
78517
78518
78519
78520
78521
78522
78523
78524
78525
78526
78527
78528
78529
78530
78531
78532
78533
78534
78535
78536
78537
78538
78539
78540
78541
78542
78543
78544
78545
78546
78547
78548
78549
78550
78551
78552
78553
78554
78555
78556
78557
78558
78559
78560
78561
78562
78563
78564
78565
78566
78567
78568
78569
78570
78571
78572
78573
78574
78575
78576
78577
78578
78579
78580
78581
78582
78583
78584
78585
78586
78587
78588
78589
78590
78591
78592
78593
78594
78595
78596
78597
78598
78599
78600
78601
78602
78603
78604
78605
78606
78607
78608
78609
78610
78611
78612
78613
78614
78615
78616
78617
78618
78619
78620
78621
78622
78623
78624
78625
78626
78627
78628
78629
78630
78631
78632
78633
78634
78635
78636
78637
78638
78639
78640
78641
78642
78643
78644
78645
78646
78647
78648
78649
78650
78651
78652
78653
78654
78655
78656
78657
78658
78659
78660
78661
78662
78663
78664
78665
78666
78667
78668
78669
78670
78671
78672
78673
78674
78675
78676
78677
78678
78679
78680
78681
78682
78683
78684
78685
78686
78687
78688
78689
78690
78691
78692
78693
78694
78695
78696
78697
78698
78699
78700
78701
78702
78703
78704
78705
78706
78707
78708
78709
78710
78711
78712
78713
78714
78715
78716
78717
78718
78719
78720
78721
78722
78723
78724
78725
78726
78727
78728
78729
78730
78731
78732
78733
78734
78735
78736
78737
78738
78739
78740
78741
78742
78743
78744
78745
78746
78747
78748
78749
78750
78751
78752
78753
78754
78755
78756
78757
78758
78759
78760
78761
78762
78763
78764
78765
78766
78767
78768
78769
78770
78771
78772
78773
78774
78775
78776
78777
78778
78779
78780
78781
78782
78783
78784
78785
78786
78787
78788
78789
78790
78791
78792
78793
78794
78795
78796
78797
78798
78799
78800
78801
78802
78803
78804
78805
78806
78807
78808
78809
78810
78811
78812
78813
78814
78815
78816
78817
78818
78819
78820
78821
78822
78823
78824
78825
78826
78827
78828
78829
78830
78831
78832
78833
78834
78835
78836
78837
78838
78839
78840
78841
78842
78843
78844
78845
78846
78847
78848
78849
78850
78851
78852
78853
78854
78855
78856
78857
78858
78859
78860
78861
78862
78863
78864
78865
78866
78867
78868
78869
78870
78871
78872
78873
78874
78875
78876
78877
78878
78879
78880
78881
78882
78883
78884
78885
78886
78887
78888
78889module lm32_cpu_minimal (
78890
78891    clk_i,
78892
78893
78894
78895
78896    rst_i,
78897
78898
78899
78900
78901
78902
78903
78904
78905
78906
78907
78908
78909
78910
78911
78912
78913
78914    interrupt,
78915
78916
78917
78918
78919
78920
78921
78922
78923
78924
78925
78926
78927
78928
78929
78930
78931
78932
78933
78934    I_DAT_I,
78935    I_ACK_I,
78936    I_ERR_I,
78937    I_RTY_I,
78938
78939
78940
78941    D_DAT_I,
78942    D_ACK_I,
78943    D_ERR_I,
78944    D_RTY_I,
78945
78946
78947
78948
78949
78950
78951
78952
78953
78954
78955
78956
78957
78958
78959
78960
78961
78962
78963
78964
78965
78966
78967
78968
78969
78970
78971
78972    I_DAT_O,
78973    I_ADR_O,
78974    I_CYC_O,
78975    I_SEL_O,
78976    I_STB_O,
78977    I_WE_O,
78978    I_CTI_O,
78979    I_LOCK_O,
78980    I_BTE_O,
78981
78982
78983
78984
78985
78986
78987
78988
78989
78990
78991
78992
78993
78994
78995
78996
78997
78998    D_DAT_O,
78999    D_ADR_O,
79000    D_CYC_O,
79001    D_SEL_O,
79002    D_STB_O,
79003    D_WE_O,
79004    D_CTI_O,
79005    D_LOCK_O,
79006    D_BTE_O
79007
79008
79009    );
79010
79011
79012
79013
79014
79015parameter eba_reset =  32'h00000000;
79016
79017
79018
79019
79020parameter sdb_address =   32'h00000000;
79021
79022
79023
79024
79025
79026
79027
79028
79029
79030parameter icache_associativity = 1;
79031parameter icache_sets = 512;
79032parameter icache_bytes_per_line = 16;
79033parameter icache_base_address = 0;
79034parameter icache_limit = 0;
79035
79036
79037
79038
79039
79040
79041
79042
79043
79044
79045
79046parameter dcache_associativity = 1;
79047parameter dcache_sets = 512;
79048parameter dcache_bytes_per_line = 16;
79049parameter dcache_base_address = 0;
79050parameter dcache_limit = 0;
79051
79052
79053
79054
79055
79056
79057
79058parameter watchpoints = 0;
79059
79060
79061
79062
79063
79064
79065parameter breakpoints = 0;
79066
79067
79068
79069
79070
79071parameter interrupts =  32;
79072
79073
79074
79075
79076
79077
79078
79079
79080
79081input clk_i;
79082
79083
79084
79085
79086input rst_i;
79087
79088
79089
79090input [ (32-1):0] interrupt;
79091
79092
79093
79094
79095
79096
79097
79098
79099
79100
79101
79102
79103
79104
79105
79106
79107
79108
79109
79110input [ (32-1):0] I_DAT_I;
79111input I_ACK_I;
79112input I_ERR_I;
79113input I_RTY_I;
79114
79115
79116
79117input [ (32-1):0] D_DAT_I;
79118input D_ACK_I;
79119input D_ERR_I;
79120input D_RTY_I;
79121
79122
79123
79124
79125
79126
79127
79128
79129
79130
79131
79132
79133
79134
79135
79136
79137
79138
79139
79140
79141
79142
79143
79144
79145
79146
79147
79148
79149
79150
79151
79152
79153
79154
79155
79156
79157
79158
79159
79160
79161
79162
79163
79164
79165
79166
79167
79168
79169
79170
79171
79172
79173output [ (32-1):0] I_DAT_O;
79174wire   [ (32-1):0] I_DAT_O;
79175output [ (32-1):0] I_ADR_O;
79176wire   [ (32-1):0] I_ADR_O;
79177output I_CYC_O;
79178wire   I_CYC_O;
79179output [ (4-1):0] I_SEL_O;
79180wire   [ (4-1):0] I_SEL_O;
79181output I_STB_O;
79182wire   I_STB_O;
79183output I_WE_O;
79184wire   I_WE_O;
79185output [ (3-1):0] I_CTI_O;
79186wire   [ (3-1):0] I_CTI_O;
79187output I_LOCK_O;
79188wire   I_LOCK_O;
79189output [ (2-1):0] I_BTE_O;
79190wire   [ (2-1):0] I_BTE_O;
79191
79192
79193
79194output [ (32-1):0] D_DAT_O;
79195wire   [ (32-1):0] D_DAT_O;
79196output [ (32-1):0] D_ADR_O;
79197wire   [ (32-1):0] D_ADR_O;
79198output D_CYC_O;
79199wire   D_CYC_O;
79200output [ (4-1):0] D_SEL_O;
79201wire   [ (4-1):0] D_SEL_O;
79202output D_STB_O;
79203wire   D_STB_O;
79204output D_WE_O;
79205wire   D_WE_O;
79206output [ (3-1):0] D_CTI_O;
79207wire   [ (3-1):0] D_CTI_O;
79208output D_LOCK_O;
79209wire   D_LOCK_O;
79210output [ (2-1):0] D_BTE_O;
79211wire   [ (2-1):0] D_BTE_O;
79212
79213
79214
79215
79216
79217
79218
79219
79220
79221
79222
79223
79224
79225
79226
79227
79228
79229
79230
79231
79232reg valid_f;
79233reg valid_d;
79234reg valid_x;
79235reg valid_m;
79236reg valid_w;
79237
79238wire q_x;
79239wire [ (32-1):0] immediate_d;
79240wire load_d;
79241reg load_x;
79242reg load_m;
79243wire load_q_x;
79244wire store_q_x;
79245wire q_m;
79246wire load_q_m;
79247wire store_q_m;
79248wire store_d;
79249reg store_x;
79250reg store_m;
79251wire [ 1:0] size_d;
79252reg [ 1:0] size_x;
79253wire branch_d;
79254wire branch_predict_d;
79255wire branch_predict_taken_d;
79256wire [ ((32-2)+2-1):2] branch_predict_address_d;
79257wire [ ((32-2)+2-1):2] branch_target_d;
79258wire bi_unconditional;
79259wire bi_conditional;
79260reg branch_x;
79261reg branch_predict_x;
79262reg branch_predict_taken_x;
79263reg branch_m;
79264reg branch_predict_m;
79265reg branch_predict_taken_m;
79266wire branch_mispredict_taken_m;
79267wire branch_flushX_m;
79268wire branch_reg_d;
79269wire [ ((32-2)+2-1):2] branch_offset_d;
79270reg [ ((32-2)+2-1):2] branch_target_x;
79271reg [ ((32-2)+2-1):2] branch_target_m;
79272wire [ 0:0] d_result_sel_0_d;
79273wire [ 1:0] d_result_sel_1_d;
79274
79275wire x_result_sel_csr_d;
79276reg x_result_sel_csr_x;
79277
79278
79279
79280
79281
79282
79283
79284
79285wire x_result_sel_shift_d;
79286reg x_result_sel_shift_x;
79287
79288
79289
79290
79291
79292
79293
79294wire x_result_sel_logic_d;
79295
79296
79297
79298
79299
79300wire x_result_sel_add_d;
79301reg x_result_sel_add_x;
79302wire m_result_sel_compare_d;
79303reg m_result_sel_compare_x;
79304reg m_result_sel_compare_m;
79305
79306
79307
79308
79309
79310
79311wire w_result_sel_load_d;
79312reg w_result_sel_load_x;
79313reg w_result_sel_load_m;
79314reg w_result_sel_load_w;
79315
79316
79317
79318
79319
79320
79321
79322wire x_bypass_enable_d;
79323reg x_bypass_enable_x;
79324wire m_bypass_enable_d;
79325reg m_bypass_enable_x;
79326reg m_bypass_enable_m;
79327wire sign_extend_d;
79328reg sign_extend_x;
79329wire write_enable_d;
79330reg write_enable_x;
79331wire write_enable_q_x;
79332reg write_enable_m;
79333wire write_enable_q_m;
79334reg write_enable_w;
79335wire write_enable_q_w;
79336wire read_enable_0_d;
79337wire [ (5-1):0] read_idx_0_d;
79338wire read_enable_1_d;
79339wire [ (5-1):0] read_idx_1_d;
79340wire [ (5-1):0] write_idx_d;
79341reg [ (5-1):0] write_idx_x;
79342reg [ (5-1):0] write_idx_m;
79343reg [ (5-1):0] write_idx_w;
79344wire [ (4 -1):0] csr_d;
79345reg  [ (4 -1):0] csr_x;
79346wire [ (3-1):0] condition_d;
79347reg [ (3-1):0] condition_x;
79348
79349
79350
79351
79352
79353wire scall_d;
79354reg scall_x;
79355wire eret_d;
79356reg eret_x;
79357wire eret_q_x;
79358
79359
79360
79361
79362
79363
79364
79365
79366
79367
79368
79369
79370
79371
79372
79373wire csr_write_enable_d;
79374reg csr_write_enable_x;
79375wire csr_write_enable_q_x;
79376
79377
79378
79379
79380
79381
79382
79383
79384
79385
79386
79387
79388
79389reg [ (32-1):0] d_result_0;
79390reg [ (32-1):0] d_result_1;
79391reg [ (32-1):0] x_result;
79392reg [ (32-1):0] m_result;
79393reg [ (32-1):0] w_result;
79394
79395reg [ (32-1):0] operand_0_x;
79396reg [ (32-1):0] operand_1_x;
79397reg [ (32-1):0] store_operand_x;
79398reg [ (32-1):0] operand_m;
79399reg [ (32-1):0] operand_w;
79400
79401
79402
79403
79404reg [ (32-1):0] reg_data_live_0;
79405reg [ (32-1):0] reg_data_live_1;
79406reg use_buf;
79407reg [ (32-1):0] reg_data_buf_0;
79408reg [ (32-1):0] reg_data_buf_1;
79409
79410
79411
79412
79413
79414
79415
79416
79417wire [ (32-1):0] reg_data_0;
79418wire [ (32-1):0] reg_data_1;
79419reg [ (32-1):0] bypass_data_0;
79420reg [ (32-1):0] bypass_data_1;
79421wire reg_write_enable_q_w;
79422
79423reg interlock;
79424
79425wire stall_a;
79426wire stall_f;
79427wire stall_d;
79428wire stall_x;
79429wire stall_m;
79430
79431
79432wire adder_op_d;
79433reg adder_op_x;
79434reg adder_op_x_n;
79435wire [ (32-1):0] adder_result_x;
79436wire adder_overflow_x;
79437wire adder_carry_n_x;
79438
79439
79440wire [ 3:0] logic_op_d;
79441reg [ 3:0] logic_op_x;
79442wire [ (32-1):0] logic_result_x;
79443
79444
79445
79446
79447
79448
79449
79450
79451
79452
79453
79454
79455
79456
79457
79458
79459
79460
79461
79462
79463
79464
79465
79466
79467
79468
79469
79470
79471
79472wire [ (32-1):0] shifter_result_x;
79473
79474
79475
79476
79477
79478
79479
79480
79481
79482
79483
79484
79485
79486
79487
79488
79489
79490
79491
79492
79493
79494
79495
79496
79497
79498
79499
79500
79501
79502
79503
79504
79505
79506
79507wire [ (32-1):0] interrupt_csr_read_data_x;
79508
79509
79510wire [ (32-1):0] cfg;
79511wire [ (32-1):0] cfg2;
79512
79513
79514
79515
79516reg [ (32-1):0] csr_read_data_x;
79517
79518
79519wire [ ((32-2)+2-1):2] pc_f;
79520wire [ ((32-2)+2-1):2] pc_d;
79521wire [ ((32-2)+2-1):2] pc_x;
79522wire [ ((32-2)+2-1):2] pc_m;
79523wire [ ((32-2)+2-1):2] pc_w;
79524
79525
79526
79527
79528
79529
79530wire [ (32-1):0] instruction_f;
79531
79532
79533
79534
79535wire [ (32-1):0] instruction_d;
79536
79537
79538
79539
79540
79541
79542
79543
79544
79545
79546
79547
79548
79549
79550
79551
79552
79553
79554
79555wire [ (32-1):0] load_data_w;
79556wire stall_wb_load;
79557
79558
79559
79560
79561
79562
79563
79564
79565
79566
79567
79568
79569
79570
79571
79572
79573
79574
79575
79576
79577
79578
79579
79580
79581
79582wire raw_x_0;
79583wire raw_x_1;
79584wire raw_m_0;
79585wire raw_m_1;
79586wire raw_w_0;
79587wire raw_w_1;
79588
79589
79590wire cmp_zero;
79591wire cmp_negative;
79592wire cmp_overflow;
79593wire cmp_carry_n;
79594reg condition_met_x;
79595reg condition_met_m;
79596
79597
79598
79599
79600wire branch_taken_m;
79601
79602wire kill_f;
79603wire kill_d;
79604wire kill_x;
79605wire kill_m;
79606wire kill_w;
79607
79608reg [ (32-2)+2-1:8] eba;
79609
79610
79611
79612
79613reg [ (3-1):0] eid_x;
79614
79615
79616
79617
79618
79619
79620
79621
79622
79623
79624
79625
79626
79627
79628
79629
79630
79631
79632
79633
79634
79635
79636
79637
79638
79639wire exception_x;
79640reg exception_m;
79641reg exception_w;
79642wire exception_q_w;
79643
79644
79645
79646
79647
79648
79649
79650
79651
79652
79653
79654
79655
79656
79657wire interrupt_exception;
79658
79659
79660
79661
79662
79663
79664
79665
79666
79667
79668
79669
79670
79671
79672
79673
79674
79675
79676wire system_call_exception;
79677
79678
79679
79680
79681
79682
79683
79684
79685
79686
79687
79688
79689
79690
79691
79692
79693
79694
79695
79696
79697
79698
79699
79700
79701
79702
79703
79704
79705
79706
79707
79708
79709
79710
79711
79712
79713
79714
79715
79716
79717
79718
79719
79720
79721
79722
79723
79724
79725
79726
79727
79728
79729
79730
79731
79732
79733
79734
79735
79736
79737
79738
79739
79740
79741function integer clogb2;
79742input [31:0] value;
79743begin
79744   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
79745        value = value >> 1;
79746end
79747endfunction
79748
79749function integer clogb2_v1;
79750input [31:0] value;
79751reg   [31:0] i;
79752reg   [31:0] temp;
79753begin
79754   temp = 0;
79755   i    = 0;
79756   for (i = 0; temp < value; i = i + 1)
79757	temp = 1<<i;
79758   clogb2_v1 = i-1;
79759end
79760endfunction
79761
79762
79763
79764
79765
79766
79767
79768
79769
79770lm32_instruction_unit_minimal #(
79771    .eba_reset              (eba_reset),
79772    .associativity          (icache_associativity),
79773    .sets                   (icache_sets),
79774    .bytes_per_line         (icache_bytes_per_line),
79775    .base_address           (icache_base_address),
79776    .limit                  (icache_limit)
79777  ) instruction_unit (
79778
79779    .clk_i                  (clk_i),
79780    .rst_i                  (rst_i),
79781
79782    .stall_a                (stall_a),
79783    .stall_f                (stall_f),
79784    .stall_d                (stall_d),
79785    .stall_x                (stall_x),
79786    .stall_m                (stall_m),
79787    .valid_f                (valid_f),
79788    .valid_d                (valid_d),
79789    .kill_f                 (kill_f),
79790    .branch_predict_taken_d (branch_predict_taken_d),
79791    .branch_predict_address_d (branch_predict_address_d),
79792
79793
79794
79795
79796
79797    .exception_m            (exception_m),
79798    .branch_taken_m         (branch_taken_m),
79799    .branch_mispredict_taken_m (branch_mispredict_taken_m),
79800    .branch_target_m        (branch_target_m),
79801
79802
79803
79804
79805
79806
79807
79808
79809
79810
79811
79812
79813
79814    .i_dat_i                (I_DAT_I),
79815    .i_ack_i                (I_ACK_I),
79816    .i_err_i                (I_ERR_I),
79817    .i_rty_i                (I_RTY_I),
79818
79819
79820
79821
79822
79823
79824
79825
79826
79827
79828
79829    .pc_f                   (pc_f),
79830    .pc_d                   (pc_d),
79831    .pc_x                   (pc_x),
79832    .pc_m                   (pc_m),
79833    .pc_w                   (pc_w),
79834
79835
79836
79837
79838
79839
79840
79841
79842
79843
79844    .i_dat_o                (I_DAT_O),
79845    .i_adr_o                (I_ADR_O),
79846    .i_cyc_o                (I_CYC_O),
79847    .i_sel_o                (I_SEL_O),
79848    .i_stb_o                (I_STB_O),
79849    .i_we_o                 (I_WE_O),
79850    .i_cti_o                (I_CTI_O),
79851    .i_lock_o               (I_LOCK_O),
79852    .i_bte_o                (I_BTE_O),
79853
79854
79855
79856
79857
79858
79859
79860
79861
79862
79863
79864
79865
79866
79867
79868
79869
79870
79871
79872
79873
79874    .instruction_f          (instruction_f),
79875
79876
79877
79878
79879    .instruction_d          (instruction_d)
79880
79881
79882
79883    );
79884
79885
79886lm32_decoder_minimal decoder (
79887
79888    .instruction            (instruction_d),
79889
79890    .d_result_sel_0         (d_result_sel_0_d),
79891    .d_result_sel_1         (d_result_sel_1_d),
79892    .x_result_sel_csr       (x_result_sel_csr_d),
79893
79894
79895
79896
79897
79898
79899    .x_result_sel_shift     (x_result_sel_shift_d),
79900
79901
79902
79903
79904
79905
79906    .x_result_sel_logic     (x_result_sel_logic_d),
79907
79908
79909
79910
79911    .x_result_sel_add       (x_result_sel_add_d),
79912    .m_result_sel_compare   (m_result_sel_compare_d),
79913
79914
79915
79916
79917    .w_result_sel_load      (w_result_sel_load_d),
79918
79919
79920
79921
79922    .x_bypass_enable        (x_bypass_enable_d),
79923    .m_bypass_enable        (m_bypass_enable_d),
79924    .read_enable_0          (read_enable_0_d),
79925    .read_idx_0             (read_idx_0_d),
79926    .read_enable_1          (read_enable_1_d),
79927    .read_idx_1             (read_idx_1_d),
79928    .write_enable           (write_enable_d),
79929    .write_idx              (write_idx_d),
79930    .immediate              (immediate_d),
79931    .branch_offset          (branch_offset_d),
79932    .load                   (load_d),
79933    .store                  (store_d),
79934    .size                   (size_d),
79935    .sign_extend            (sign_extend_d),
79936    .adder_op               (adder_op_d),
79937    .logic_op               (logic_op_d),
79938
79939
79940
79941
79942
79943
79944
79945
79946
79947
79948
79949
79950
79951
79952
79953
79954
79955
79956    .branch                 (branch_d),
79957    .bi_unconditional       (bi_unconditional),
79958    .bi_conditional         (bi_conditional),
79959    .branch_reg             (branch_reg_d),
79960    .condition              (condition_d),
79961
79962
79963
79964
79965    .scall                  (scall_d),
79966    .eret                   (eret_d),
79967
79968
79969
79970
79971
79972
79973
79974
79975    .csr_write_enable       (csr_write_enable_d)
79976    );
79977
79978
79979lm32_load_store_unit_minimal #(
79980    .associativity          (dcache_associativity),
79981    .sets                   (dcache_sets),
79982    .bytes_per_line         (dcache_bytes_per_line),
79983    .base_address           (dcache_base_address),
79984    .limit                  (dcache_limit)
79985  ) load_store_unit (
79986
79987    .clk_i                  (clk_i),
79988    .rst_i                  (rst_i),
79989
79990    .stall_a                (stall_a),
79991    .stall_x                (stall_x),
79992    .stall_m                (stall_m),
79993    .kill_x                 (kill_x),
79994    .kill_m                 (kill_m),
79995    .exception_m            (exception_m),
79996    .store_operand_x        (store_operand_x),
79997    .load_store_address_x   (adder_result_x),
79998    .load_store_address_m   (operand_m),
79999    .load_store_address_w   (operand_w[1:0]),
80000    .load_x                 (load_x),
80001    .store_x                (store_x),
80002    .load_q_x               (load_q_x),
80003    .store_q_x              (store_q_x),
80004    .load_q_m               (load_q_m),
80005    .store_q_m              (store_q_m),
80006    .sign_extend_x          (sign_extend_x),
80007    .size_x                 (size_x),
80008
80009
80010
80011
80012
80013
80014
80015
80016
80017
80018
80019
80020
80021
80022
80023
80024
80025    .d_dat_i                (D_DAT_I),
80026    .d_ack_i                (D_ACK_I),
80027    .d_err_i                (D_ERR_I),
80028    .d_rty_i                (D_RTY_I),
80029
80030
80031
80032
80033
80034
80035
80036
80037
80038    .load_data_w            (load_data_w),
80039    .stall_wb_load          (stall_wb_load),
80040
80041    .d_dat_o                (D_DAT_O),
80042    .d_adr_o                (D_ADR_O),
80043    .d_cyc_o                (D_CYC_O),
80044    .d_sel_o                (D_SEL_O),
80045    .d_stb_o                (D_STB_O),
80046    .d_we_o                 (D_WE_O),
80047    .d_cti_o                (D_CTI_O),
80048    .d_lock_o               (D_LOCK_O),
80049    .d_bte_o                (D_BTE_O)
80050    );
80051
80052
80053lm32_adder adder (
80054
80055    .adder_op_x             (adder_op_x),
80056    .adder_op_x_n           (adder_op_x_n),
80057    .operand_0_x            (operand_0_x),
80058    .operand_1_x            (operand_1_x),
80059
80060    .adder_result_x         (adder_result_x),
80061    .adder_carry_n_x        (adder_carry_n_x),
80062    .adder_overflow_x       (adder_overflow_x)
80063    );
80064
80065
80066lm32_logic_op logic_op (
80067
80068    .logic_op_x             (logic_op_x),
80069    .operand_0_x            (operand_0_x),
80070
80071    .operand_1_x            (operand_1_x),
80072
80073    .logic_result_x         (logic_result_x)
80074    );
80075
80076
80077
80078
80079
80080
80081
80082
80083
80084
80085
80086
80087
80088
80089
80090
80091
80092
80093
80094
80095
80096
80097
80098
80099
80100
80101
80102
80103
80104
80105
80106
80107
80108
80109
80110
80111
80112
80113
80114
80115
80116
80117
80118
80119
80120
80121
80122
80123
80124
80125
80126
80127
80128
80129
80130
80131
80132
80133
80134
80135
80136
80137
80138
80139
80140
80141
80142
80143
80144lm32_interrupt_minimal interrupt_unit (
80145
80146    .clk_i                  (clk_i),
80147    .rst_i                  (rst_i),
80148
80149    .interrupt              (interrupt),
80150
80151    .stall_x                (stall_x),
80152
80153
80154
80155
80156
80157    .exception              (exception_q_w),
80158
80159
80160    .eret_q_x               (eret_q_x),
80161
80162
80163
80164
80165    .csr                    (csr_x),
80166    .csr_write_data         (operand_1_x),
80167    .csr_write_enable       (csr_write_enable_q_x),
80168
80169    .interrupt_exception    (interrupt_exception),
80170
80171    .csr_read_data          (interrupt_csr_read_data_x)
80172    );
80173
80174
80175
80176
80177
80178
80179
80180
80181
80182
80183
80184
80185
80186
80187
80188
80189
80190
80191
80192
80193
80194
80195
80196
80197
80198
80199
80200
80201
80202
80203
80204
80205
80206
80207
80208
80209
80210
80211
80212
80213
80214
80215
80216
80217
80218
80219
80220
80221
80222
80223
80224
80225
80226
80227
80228
80229
80230
80231
80232
80233
80234
80235
80236
80237
80238
80239
80240
80241
80242
80243
80244
80245
80246
80247
80248
80249
80250
80251
80252
80253
80254
80255
80256
80257
80258
80259
80260
80261
80262
80263
80264
80265
80266
80267
80268
80269
80270
80271
80272
80273
80274
80275
80276
80277
80278
80279
80280
80281
80282
80283
80284
80285
80286
80287
80288
80289
80290
80291
80292
80293
80294
80295
80296
80297
80298
80299
80300   wire [31:0] regfile_data_0, regfile_data_1;
80301   reg [31:0]  w_result_d;
80302   reg 	       regfile_raw_0, regfile_raw_0_nxt;
80303   reg 	       regfile_raw_1, regfile_raw_1_nxt;
80304
80305
80306
80307
80308
80309   always @(reg_write_enable_q_w or write_idx_w or instruction_f)
80310     begin
80311	if (reg_write_enable_q_w
80312	    && (write_idx_w == instruction_f[25:21]))
80313	  regfile_raw_0_nxt = 1'b1;
80314	else
80315	  regfile_raw_0_nxt = 1'b0;
80316
80317	if (reg_write_enable_q_w
80318	    && (write_idx_w == instruction_f[20:16]))
80319	  regfile_raw_1_nxt = 1'b1;
80320	else
80321	  regfile_raw_1_nxt = 1'b0;
80322     end
80323
80324
80325
80326
80327
80328
80329   always @(regfile_raw_0 or w_result_d or regfile_data_0)
80330     if (regfile_raw_0)
80331       reg_data_live_0 = w_result_d;
80332     else
80333       reg_data_live_0 = regfile_data_0;
80334
80335
80336
80337
80338
80339
80340   always @(regfile_raw_1 or w_result_d or regfile_data_1)
80341     if (regfile_raw_1)
80342       reg_data_live_1 = w_result_d;
80343     else
80344       reg_data_live_1 = regfile_data_1;
80345
80346
80347
80348
80349   always @(posedge clk_i  )
80350     if (rst_i ==  1'b1)
80351       begin
80352	  regfile_raw_0 <= 1'b0;
80353	  regfile_raw_1 <= 1'b0;
80354	  w_result_d <= 32'b0;
80355       end
80356     else
80357       begin
80358	  regfile_raw_0 <= regfile_raw_0_nxt;
80359	  regfile_raw_1 <= regfile_raw_1_nxt;
80360	  w_result_d <= w_result;
80361       end
80362
80363
80364
80365
80366
80367   lm32_dp_ram
80368     #(
80369
80370       .addr_depth(1<<5),
80371       .addr_width(5),
80372       .data_width(32)
80373       )
80374   reg_0
80375     (
80376
80377      .clk_i	(clk_i),
80378      .rst_i	(rst_i),
80379      .we_i	(reg_write_enable_q_w),
80380      .wdata_i	(w_result),
80381      .waddr_i	(write_idx_w),
80382      .raddr_i	(instruction_f[25:21]),
80383
80384      .rdata_o	(regfile_data_0)
80385      );
80386
80387   lm32_dp_ram
80388     #(
80389       .addr_depth(1<<5),
80390       .addr_width(5),
80391       .data_width(32)
80392       )
80393   reg_1
80394     (
80395
80396      .clk_i	(clk_i),
80397      .rst_i	(rst_i),
80398      .we_i	(reg_write_enable_q_w),
80399      .wdata_i	(w_result),
80400      .waddr_i	(write_idx_w),
80401      .raddr_i	(instruction_f[20:16]),
80402
80403      .rdata_o	(regfile_data_1)
80404      );
80405
80406
80407
80408
80409
80410
80411
80412
80413
80414
80415
80416
80417
80418
80419
80420
80421
80422
80423
80424
80425
80426
80427
80428
80429
80430
80431
80432
80433
80434
80435
80436
80437
80438
80439
80440
80441
80442
80443
80444
80445
80446
80447
80448
80449
80450
80451
80452
80453
80454
80455
80456
80457
80458
80459
80460
80461
80462
80463
80464
80465
80466
80467
80468
80469
80470
80471
80472
80473
80474
80475
80476
80477
80478
80479
80480
80481
80482
80483
80484
80485assign reg_data_0 = use_buf ? reg_data_buf_0 : reg_data_live_0;
80486assign reg_data_1 = use_buf ? reg_data_buf_1 : reg_data_live_1;
80487
80488
80489
80490
80491
80492
80493
80494
80495
80496
80497
80498
80499assign raw_x_0 = (write_idx_x == read_idx_0_d) && (write_enable_q_x ==  1'b1);
80500assign raw_m_0 = (write_idx_m == read_idx_0_d) && (write_enable_q_m ==  1'b1);
80501assign raw_w_0 = (write_idx_w == read_idx_0_d) && (write_enable_q_w ==  1'b1);
80502assign raw_x_1 = (write_idx_x == read_idx_1_d) && (write_enable_q_x ==  1'b1);
80503assign raw_m_1 = (write_idx_m == read_idx_1_d) && (write_enable_q_m ==  1'b1);
80504assign raw_w_1 = (write_idx_w == read_idx_1_d) && (write_enable_q_w ==  1'b1);
80505
80506
80507always @(*)
80508begin
80509    if (   (   (x_bypass_enable_x ==  1'b0)
80510            && (   ((read_enable_0_d ==  1'b1) && (raw_x_0 ==  1'b1))
80511                || ((read_enable_1_d ==  1'b1) && (raw_x_1 ==  1'b1))
80512               )
80513           )
80514        || (   (m_bypass_enable_m ==  1'b0)
80515            && (   ((read_enable_0_d ==  1'b1) && (raw_m_0 ==  1'b1))
80516                || ((read_enable_1_d ==  1'b1) && (raw_m_1 ==  1'b1))
80517               )
80518           )
80519       )
80520        interlock =  1'b1;
80521    else
80522        interlock =  1'b0;
80523end
80524
80525
80526always @(*)
80527begin
80528    if (raw_x_0 ==  1'b1)
80529        bypass_data_0 = x_result;
80530    else if (raw_m_0 ==  1'b1)
80531        bypass_data_0 = m_result;
80532    else if (raw_w_0 ==  1'b1)
80533        bypass_data_0 = w_result;
80534    else
80535        bypass_data_0 = reg_data_0;
80536end
80537
80538
80539always @(*)
80540begin
80541    if (raw_x_1 ==  1'b1)
80542        bypass_data_1 = x_result;
80543    else if (raw_m_1 ==  1'b1)
80544        bypass_data_1 = m_result;
80545    else if (raw_w_1 ==  1'b1)
80546        bypass_data_1 = w_result;
80547    else
80548        bypass_data_1 = reg_data_1;
80549end
80550
80551
80552
80553
80554
80555
80556
80557   assign branch_predict_d = bi_unconditional | bi_conditional;
80558   assign branch_predict_taken_d = bi_unconditional ? 1'b1 : (bi_conditional ? instruction_d[15] : 1'b0);
80559
80560
80561   assign branch_target_d = pc_d + branch_offset_d;
80562
80563
80564
80565
80566   assign branch_predict_address_d = branch_predict_taken_d ? branch_target_d : pc_f;
80567
80568
80569always @(*)
80570begin
80571    d_result_0 = d_result_sel_0_d[0] ? {pc_f, 2'b00} : bypass_data_0;
80572    case (d_result_sel_1_d)
80573     2'b00:      d_result_1 = { 32{1'b0}};
80574     2'b01:     d_result_1 = bypass_data_1;
80575     2'b10: d_result_1 = immediate_d;
80576    default:                        d_result_1 = { 32{1'bx}};
80577    endcase
80578end
80579
80580
80581
80582
80583
80584
80585
80586
80587
80588
80589
80590
80591
80592
80593
80594
80595
80596
80597
80598assign shifter_result_x = {operand_0_x[ 32-1] & sign_extend_x, operand_0_x[ 32-1:1]};
80599
80600
80601
80602
80603assign cmp_zero = operand_0_x == operand_1_x;
80604assign cmp_negative = adder_result_x[ 32-1];
80605assign cmp_overflow = adder_overflow_x;
80606assign cmp_carry_n = adder_carry_n_x;
80607always @(*)
80608begin
80609    case (condition_x)
80610     3'b000:   condition_met_x =  1'b1;
80611     3'b110:   condition_met_x =  1'b1;
80612     3'b001:    condition_met_x = cmp_zero;
80613     3'b111:   condition_met_x = !cmp_zero;
80614     3'b010:    condition_met_x = !cmp_zero && (cmp_negative == cmp_overflow);
80615     3'b101:   condition_met_x = cmp_carry_n && !cmp_zero;
80616     3'b011:   condition_met_x = cmp_negative == cmp_overflow;
80617     3'b100:  condition_met_x = cmp_carry_n;
80618    default:              condition_met_x = 1'bx;
80619    endcase
80620end
80621
80622
80623always @(*)
80624begin
80625    x_result =   x_result_sel_add_x ? adder_result_x
80626               : x_result_sel_csr_x ? csr_read_data_x
80627
80628
80629
80630
80631
80632
80633
80634
80635
80636
80637               : x_result_sel_shift_x ? shifter_result_x
80638
80639
80640
80641
80642
80643
80644               : logic_result_x;
80645end
80646
80647
80648always @(*)
80649begin
80650    m_result =   m_result_sel_compare_m ? {{ 32-1{1'b0}}, condition_met_m}
80651
80652
80653
80654
80655               : operand_m;
80656end
80657
80658
80659always @(*)
80660begin
80661    w_result =    w_result_sel_load_w ? load_data_w
80662
80663
80664
80665
80666                : operand_w;
80667end
80668
80669
80670
80671
80672
80673
80674
80675
80676
80677
80678
80679
80680
80681assign branch_taken_m =      (stall_m ==  1'b0)
80682                          && (   (   (branch_m ==  1'b1)
80683                                  && (valid_m ==  1'b1)
80684                                  && (   (   (condition_met_m ==  1'b1)
80685					  && (branch_predict_taken_m ==  1'b0)
80686					 )
80687				      || (   (condition_met_m ==  1'b0)
80688					  && (branch_predict_m ==  1'b1)
80689					  && (branch_predict_taken_m ==  1'b1)
80690					 )
80691				     )
80692                                 )
80693                              || (exception_m ==  1'b1)
80694                             );
80695
80696
80697assign branch_mispredict_taken_m =    (condition_met_m ==  1'b0)
80698                                   && (branch_predict_m ==  1'b1)
80699	   			   && (branch_predict_taken_m ==  1'b1);
80700
80701
80702assign branch_flushX_m =    (stall_m ==  1'b0)
80703                         && (   (   (branch_m ==  1'b1)
80704                                 && (valid_m ==  1'b1)
80705			         && (   (condition_met_m ==  1'b1)
80706				     || (   (condition_met_m ==  1'b0)
80707					 && (branch_predict_m ==  1'b1)
80708					 && (branch_predict_taken_m ==  1'b1)
80709					)
80710				    )
80711			        )
80712			     || (exception_m ==  1'b1)
80713			    );
80714
80715
80716assign kill_f =    (   (valid_d ==  1'b1)
80717                    && (branch_predict_taken_d ==  1'b1)
80718		   )
80719                || (branch_taken_m ==  1'b1)
80720
80721
80722
80723
80724
80725
80726
80727
80728
80729
80730
80731
80732                ;
80733assign kill_d =    (branch_taken_m ==  1'b1)
80734
80735
80736
80737
80738
80739
80740
80741
80742
80743
80744
80745
80746                ;
80747assign kill_x =    (branch_flushX_m ==  1'b1)
80748
80749
80750
80751
80752                ;
80753assign kill_m =     1'b0
80754
80755
80756
80757
80758                ;
80759assign kill_w =     1'b0
80760
80761
80762
80763
80764                ;
80765
80766
80767
80768
80769
80770
80771
80772
80773
80774
80775
80776
80777
80778
80779
80780
80781
80782
80783
80784
80785
80786
80787
80788
80789
80790
80791
80792
80793
80794
80795
80796
80797
80798
80799assign system_call_exception = (   (scall_x ==  1'b1)
80800
80801
80802
80803
80804			       );
80805
80806
80807
80808
80809
80810
80811
80812
80813
80814
80815
80816
80817
80818
80819
80820
80821
80822
80823
80824
80825
80826
80827
80828
80829
80830
80831
80832
80833
80834
80835
80836
80837
80838assign exception_x =           (system_call_exception ==  1'b1)
80839
80840
80841
80842
80843
80844
80845
80846
80847
80848
80849
80850                            || (   (interrupt_exception ==  1'b1)
80851
80852
80853
80854
80855
80856
80857
80858
80859
80860                               )
80861
80862
80863                            ;
80864
80865
80866
80867
80868
80869
80870
80871
80872
80873
80874
80875
80876
80877
80878
80879always @(*)
80880begin
80881
80882
80883
80884
80885
80886
80887
80888
80889
80890
80891
80892
80893
80894
80895
80896
80897
80898
80899
80900
80901
80902
80903
80904
80905
80906
80907
80908
80909
80910
80911
80912
80913
80914
80915
80916
80917
80918
80919
80920         if (   (interrupt_exception ==  1'b1)
80921
80922
80923
80924
80925            )
80926        eid_x =  3'h6;
80927    else
80928
80929
80930        eid_x =  3'h7;
80931end
80932
80933
80934
80935assign stall_a = (stall_f ==  1'b1);
80936
80937assign stall_f = (stall_d ==  1'b1);
80938
80939assign stall_d =   (stall_x ==  1'b1)
80940                || (   (interlock ==  1'b1)
80941                    && (kill_d ==  1'b0)
80942                   )
80943		|| (   (   (eret_d ==  1'b1)
80944			|| (scall_d ==  1'b1)
80945
80946
80947
80948
80949		       )
80950		    && (   (load_q_x ==  1'b1)
80951			|| (load_q_m ==  1'b1)
80952			|| (store_q_x ==  1'b1)
80953			|| (store_q_m ==  1'b1)
80954			|| (D_CYC_O ==  1'b1)
80955		       )
80956                    && (kill_d ==  1'b0)
80957		   )
80958
80959
80960
80961
80962
80963
80964
80965
80966
80967
80968
80969
80970
80971
80972                || (   (csr_write_enable_d ==  1'b1)
80973                    && (load_q_x ==  1'b1)
80974                   )
80975
80976
80977
80978
80979
80980
80981
80982
80983
80984
80985                ;
80986
80987assign stall_x =    (stall_m ==  1'b1)
80988
80989
80990
80991
80992
80993
80994
80995
80996                 ;
80997
80998assign stall_m =    (stall_wb_load ==  1'b1)
80999
81000
81001
81002
81003                 || (   (D_CYC_O ==  1'b1)
81004                     && (   (store_m ==  1'b1)
81005
81006
81007
81008
81009
81010
81011
81012
81013
81014
81015
81016
81017
81018
81019
81020		         || ((store_x ==  1'b1) && (interrupt_exception ==  1'b1))
81021
81022
81023                         || (load_m ==  1'b1)
81024                         || (load_x ==  1'b1)
81025                        )
81026                    )
81027
81028
81029
81030
81031
81032
81033
81034
81035
81036
81037
81038
81039
81040                 || (I_CYC_O ==  1'b1)
81041
81042
81043
81044
81045
81046
81047
81048
81049
81050
81051
81052
81053
81054
81055                 ;
81056
81057
81058
81059
81060
81061
81062
81063
81064
81065
81066
81067
81068
81069
81070
81071
81072
81073
81074
81075
81076
81077
81078assign q_x = (valid_x ==  1'b1) && (kill_x ==  1'b0);
81079assign csr_write_enable_q_x = (csr_write_enable_x ==  1'b1) && (q_x ==  1'b1);
81080assign eret_q_x = (eret_x ==  1'b1) && (q_x ==  1'b1);
81081
81082
81083
81084
81085assign load_q_x = (load_x ==  1'b1)
81086               && (q_x ==  1'b1)
81087
81088
81089
81090
81091                  ;
81092assign store_q_x = (store_x ==  1'b1)
81093               && (q_x ==  1'b1)
81094
81095
81096
81097
81098                  ;
81099
81100
81101
81102
81103assign q_m = (valid_m ==  1'b1) && (kill_m ==  1'b0) && (exception_m ==  1'b0);
81104assign load_q_m = (load_m ==  1'b1) && (q_m ==  1'b1);
81105assign store_q_m = (store_m ==  1'b1) && (q_m ==  1'b1);
81106
81107
81108
81109
81110
81111assign exception_q_w = ((exception_w ==  1'b1) && (valid_w ==  1'b1));
81112
81113
81114
81115assign write_enable_q_x = (write_enable_x ==  1'b1) && (valid_x ==  1'b1) && (branch_flushX_m ==  1'b0);
81116assign write_enable_q_m = (write_enable_m ==  1'b1) && (valid_m ==  1'b1);
81117assign write_enable_q_w = (write_enable_w ==  1'b1) && (valid_w ==  1'b1);
81118
81119assign reg_write_enable_q_w = (write_enable_w ==  1'b1) && (kill_w ==  1'b0) && (valid_w ==  1'b1);
81120
81121
81122assign cfg = {
81123               6'h02,
81124              watchpoints[3:0],
81125              breakpoints[3:0],
81126              interrupts[5:0],
81127
81128
81129
81130
81131               1'b0,
81132
81133
81134
81135
81136
81137
81138               1'b0,
81139
81140
81141
81142
81143
81144
81145               1'b0,
81146
81147
81148
81149
81150
81151
81152               1'b0,
81153
81154
81155
81156
81157
81158
81159               1'b0,
81160
81161
81162
81163
81164
81165
81166               1'b0,
81167
81168
81169
81170
81171
81172
81173               1'b0,
81174
81175
81176
81177
81178
81179
81180               1'b0,
81181
81182
81183
81184
81185
81186
81187               1'b0,
81188
81189
81190
81191
81192
81193
81194               1'b0,
81195
81196
81197
81198
81199
81200
81201               1'b0,
81202
81203
81204
81205
81206
81207
81208               1'b0
81209
81210
81211              };
81212
81213assign cfg2 = {
81214		     30'b0,
81215
81216
81217
81218
81219		      1'b0,
81220
81221
81222
81223
81224
81225
81226		      1'b0
81227
81228
81229		     };
81230
81231
81232
81233
81234
81235
81236
81237
81238
81239
81240
81241
81242
81243
81244
81245
81246
81247
81248
81249
81250
81251
81252
81253
81254
81255
81256
81257
81258
81259
81260
81261assign csr_d = read_idx_0_d[ (4 -1):0];
81262
81263
81264always @(*)
81265begin
81266    case (csr_x)
81267
81268
81269     4 'h0,
81270     4 'h1,
81271     4 'h2:   csr_read_data_x = interrupt_csr_read_data_x;
81272
81273
81274
81275
81276
81277
81278     4 'h6:  csr_read_data_x = cfg;
81279     4 'h7:  csr_read_data_x = {eba, 8'h00};
81280
81281
81282
81283
81284
81285
81286
81287
81288
81289     4 'ha: csr_read_data_x = cfg2;
81290     4 'hb:  csr_read_data_x = sdb_address;
81291
81292
81293
81294
81295
81296
81297    default:        csr_read_data_x = { 32{1'bx}};
81298    endcase
81299end
81300
81301
81302
81303
81304
81305
81306always @(posedge clk_i  )
81307begin
81308    if (rst_i ==  1'b1)
81309        eba <= eba_reset[ (32-2)+2-1:8];
81310    else
81311    begin
81312        if ((csr_write_enable_q_x ==  1'b1) && (csr_x ==  4 'h7) && (stall_x ==  1'b0))
81313            eba <= operand_1_x[ (32-2)+2-1:8];
81314
81315
81316
81317
81318
81319
81320
81321
81322
81323
81324
81325    end
81326end
81327
81328
81329
81330
81331
81332
81333
81334
81335
81336
81337
81338
81339
81340
81341
81342
81343
81344
81345
81346
81347
81348
81349
81350
81351
81352
81353
81354
81355
81356
81357
81358
81359
81360
81361
81362
81363
81364
81365
81366
81367
81368
81369
81370
81371
81372
81373
81374
81375
81376
81377
81378
81379
81380
81381
81382
81383
81384
81385
81386
81387
81388
81389
81390
81391
81392
81393
81394
81395
81396
81397
81398
81399
81400
81401
81402
81403
81404
81405
81406
81407
81408
81409
81410
81411
81412
81413
81414
81415
81416
81417
81418
81419
81420
81421
81422
81423
81424
81425
81426
81427
81428
81429
81430
81431always @(posedge clk_i  )
81432begin
81433    if (rst_i ==  1'b1)
81434    begin
81435        valid_f <=  1'b0;
81436        valid_d <=  1'b0;
81437        valid_x <=  1'b0;
81438        valid_m <=  1'b0;
81439        valid_w <=  1'b0;
81440    end
81441    else
81442    begin
81443        if ((kill_f ==  1'b1) || (stall_a ==  1'b0))
81444
81445
81446
81447
81448            valid_f <=  1'b1;
81449
81450
81451        else if (stall_f ==  1'b0)
81452            valid_f <=  1'b0;
81453
81454        if (kill_d ==  1'b1)
81455            valid_d <=  1'b0;
81456        else if (stall_f ==  1'b0)
81457            valid_d <= valid_f & !kill_f;
81458        else if (stall_d ==  1'b0)
81459            valid_d <=  1'b0;
81460
81461        if (stall_d ==  1'b0)
81462            valid_x <= valid_d & !kill_d;
81463        else if (kill_x ==  1'b1)
81464            valid_x <=  1'b0;
81465        else if (stall_x ==  1'b0)
81466            valid_x <=  1'b0;
81467
81468        if (kill_m ==  1'b1)
81469            valid_m <=  1'b0;
81470        else if (stall_x ==  1'b0)
81471            valid_m <= valid_x & !kill_x;
81472        else if (stall_m ==  1'b0)
81473            valid_m <=  1'b0;
81474
81475        if (stall_m ==  1'b0)
81476            valid_w <= valid_m & !kill_m;
81477        else
81478            valid_w <=  1'b0;
81479    end
81480end
81481
81482
81483always @(posedge clk_i  )
81484begin
81485    if (rst_i ==  1'b1)
81486    begin
81487
81488
81489
81490
81491        operand_0_x <= { 32{1'b0}};
81492        operand_1_x <= { 32{1'b0}};
81493        store_operand_x <= { 32{1'b0}};
81494        branch_target_x <= { (32-2){1'b0}};
81495        x_result_sel_csr_x <=  1'b0;
81496
81497
81498
81499
81500
81501
81502        x_result_sel_shift_x <=  1'b0;
81503
81504
81505
81506
81507
81508
81509
81510
81511
81512
81513        x_result_sel_add_x <=  1'b0;
81514        m_result_sel_compare_x <=  1'b0;
81515
81516
81517
81518
81519        w_result_sel_load_x <=  1'b0;
81520
81521
81522
81523
81524        x_bypass_enable_x <=  1'b0;
81525        m_bypass_enable_x <=  1'b0;
81526        write_enable_x <=  1'b0;
81527        write_idx_x <= { 5{1'b0}};
81528        csr_x <= { 4 {1'b0}};
81529        load_x <=  1'b0;
81530        store_x <=  1'b0;
81531        size_x <= { 2{1'b0}};
81532        sign_extend_x <=  1'b0;
81533        adder_op_x <=  1'b0;
81534        adder_op_x_n <=  1'b0;
81535        logic_op_x <= 4'h0;
81536
81537
81538
81539
81540
81541
81542
81543
81544
81545        branch_x <=  1'b0;
81546        branch_predict_x <=  1'b0;
81547        branch_predict_taken_x <=  1'b0;
81548        condition_x <=  3'b000;
81549
81550
81551
81552
81553        scall_x <=  1'b0;
81554        eret_x <=  1'b0;
81555
81556
81557
81558
81559
81560
81561
81562
81563
81564        csr_write_enable_x <=  1'b0;
81565        operand_m <= { 32{1'b0}};
81566        branch_target_m <= { (32-2){1'b0}};
81567        m_result_sel_compare_m <=  1'b0;
81568
81569
81570
81571
81572        w_result_sel_load_m <=  1'b0;
81573
81574
81575
81576
81577        m_bypass_enable_m <=  1'b0;
81578        branch_m <=  1'b0;
81579        branch_predict_m <=  1'b0;
81580	branch_predict_taken_m <=  1'b0;
81581        exception_m <=  1'b0;
81582        load_m <=  1'b0;
81583        store_m <=  1'b0;
81584        write_enable_m <=  1'b0;
81585        write_idx_m <= { 5{1'b0}};
81586        condition_met_m <=  1'b0;
81587
81588
81589
81590
81591
81592
81593
81594
81595
81596        operand_w <= { 32{1'b0}};
81597        w_result_sel_load_w <=  1'b0;
81598
81599
81600
81601
81602        write_idx_w <= { 5{1'b0}};
81603        write_enable_w <=  1'b0;
81604
81605
81606
81607
81608
81609        exception_w <=  1'b0;
81610
81611
81612
81613
81614
81615
81616    end
81617    else
81618    begin
81619
81620
81621        if (stall_x ==  1'b0)
81622        begin
81623
81624
81625
81626
81627            operand_0_x <= d_result_0;
81628            operand_1_x <= d_result_1;
81629            store_operand_x <= bypass_data_1;
81630            branch_target_x <= branch_reg_d ==  1'b1 ? bypass_data_0[ ((32-2)+2-1):2] : branch_target_d;
81631            x_result_sel_csr_x <= x_result_sel_csr_d;
81632
81633
81634
81635
81636
81637
81638            x_result_sel_shift_x <= x_result_sel_shift_d;
81639
81640
81641
81642
81643
81644
81645
81646
81647
81648
81649            x_result_sel_add_x <= x_result_sel_add_d;
81650            m_result_sel_compare_x <= m_result_sel_compare_d;
81651
81652
81653
81654
81655            w_result_sel_load_x <= w_result_sel_load_d;
81656
81657
81658
81659
81660            x_bypass_enable_x <= x_bypass_enable_d;
81661            m_bypass_enable_x <= m_bypass_enable_d;
81662            load_x <= load_d;
81663            store_x <= store_d;
81664            branch_x <= branch_d;
81665	    branch_predict_x <= branch_predict_d;
81666	    branch_predict_taken_x <= branch_predict_taken_d;
81667	    write_idx_x <= write_idx_d;
81668            csr_x <= csr_d;
81669            size_x <= size_d;
81670            sign_extend_x <= sign_extend_d;
81671            adder_op_x <= adder_op_d;
81672            adder_op_x_n <= ~adder_op_d;
81673            logic_op_x <= logic_op_d;
81674
81675
81676
81677
81678
81679
81680
81681
81682            condition_x <= condition_d;
81683            csr_write_enable_x <= csr_write_enable_d;
81684
81685
81686
81687
81688            scall_x <= scall_d;
81689
81690
81691
81692
81693            eret_x <= eret_d;
81694
81695
81696
81697
81698            write_enable_x <= write_enable_d;
81699        end
81700
81701
81702
81703        if (stall_m ==  1'b0)
81704        begin
81705            operand_m <= x_result;
81706            m_result_sel_compare_m <= m_result_sel_compare_x;
81707
81708
81709
81710
81711            if (exception_x ==  1'b1)
81712            begin
81713                w_result_sel_load_m <=  1'b0;
81714
81715
81716
81717
81718            end
81719            else
81720            begin
81721                w_result_sel_load_m <= w_result_sel_load_x;
81722
81723
81724
81725
81726            end
81727            m_bypass_enable_m <= m_bypass_enable_x;
81728            load_m <= load_x;
81729            store_m <= store_x;
81730
81731
81732
81733
81734            branch_m <= branch_x;
81735	    branch_predict_m <= branch_predict_x;
81736	    branch_predict_taken_m <= branch_predict_taken_x;
81737
81738
81739
81740
81741
81742
81743
81744
81745
81746
81747
81748
81749
81750
81751
81752
81753            if (exception_x ==  1'b1)
81754                write_idx_m <=  5'd30;
81755            else
81756                write_idx_m <= write_idx_x;
81757
81758
81759            condition_met_m <= condition_met_x;
81760
81761
81762
81763
81764
81765
81766
81767
81768
81769
81770
81771
81772            branch_target_m <= exception_x ==  1'b1 ? {eba, eid_x, {3{1'b0}}} : branch_target_x;
81773
81774
81775
81776
81777
81778
81779
81780
81781
81782
81783
81784
81785
81786
81787
81788
81789
81790            write_enable_m <= exception_x ==  1'b1 ?  1'b1 : write_enable_x;
81791
81792
81793
81794
81795
81796        end
81797
81798
81799        if (stall_m ==  1'b0)
81800        begin
81801            if ((exception_x ==  1'b1) && (q_x ==  1'b1) && (stall_x ==  1'b0))
81802                exception_m <=  1'b1;
81803            else
81804                exception_m <=  1'b0;
81805
81806
81807
81808
81809
81810
81811
81812
81813	end
81814
81815
81816
81817
81818
81819
81820        operand_w <= exception_m ==  1'b1 ? {pc_m, 2'b00} : m_result;
81821
81822
81823        w_result_sel_load_w <= w_result_sel_load_m;
81824
81825
81826
81827
81828        write_idx_w <= write_idx_m;
81829
81830
81831
81832
81833
81834
81835
81836
81837        write_enable_w <= write_enable_m;
81838
81839
81840
81841
81842
81843        exception_w <= exception_m;
81844
81845
81846
81847
81848
81849
81850
81851
81852
81853
81854
81855    end
81856end
81857
81858
81859
81860
81861
81862always @(posedge clk_i  )
81863begin
81864    if (rst_i ==  1'b1)
81865    begin
81866        use_buf <=  1'b0;
81867        reg_data_buf_0 <= { 32{1'b0}};
81868        reg_data_buf_1 <= { 32{1'b0}};
81869    end
81870    else
81871    begin
81872        if (stall_d ==  1'b0)
81873            use_buf <=  1'b0;
81874        else if (use_buf ==  1'b0)
81875        begin
81876            reg_data_buf_0 <= reg_data_live_0;
81877            reg_data_buf_1 <= reg_data_live_1;
81878            use_buf <=  1'b1;
81879        end
81880        if (reg_write_enable_q_w ==  1'b1)
81881        begin
81882            if (write_idx_w == read_idx_0_d)
81883                reg_data_buf_0 <= w_result;
81884            if (write_idx_w == read_idx_1_d)
81885                reg_data_buf_1 <= w_result;
81886        end
81887    end
81888end
81889
81890
81891
81892
81893
81894
81895
81896
81897
81898
81899
81900
81901
81902
81903
81904
81905
81906
81907
81908
81909
81910
81911
81912
81913
81914
81915
81916
81917
81918
81919
81920
81921
81922
81923
81924
81925
81926
81927
81928
81929
81930
81931
81932
81933
81934
81935
81936
81937
81938
81939
81940
81941
81942
81943
81944
81945
81946
81947
81948
81949
81950
81951
81952
81953
81954
81955
81956
81957
81958
81959
81960
81961
81962
81963
81964
81965
81966
81967
81968
81969
81970
81971
81972
81973
81974
81975
81976
81977
81978
81979
81980
81981
81982
81983
81984
81985
81986
81987
81988
81989
81990
81991
81992
81993
81994
81995
81996
81997
81998
81999
82000
82001
82002
82003
82004
82005
82006
82007
82008
82009endmodule
82010
82011
82012
82013
82014
82015
82016
82017
82018
82019
82020
82021
82022
82023
82024
82025
82026
82027
82028
82029
82030
82031
82032
82033
82034
82035
82036
82037
82038
82039
82040
82041
82042
82043
82044
82045
82046
82047
82048
82049
82050
82051
82052
82053
82054
82055
82056
82057
82058
82059
82060
82061
82062
82063
82064
82065
82066
82067
82068
82069
82070
82071
82072
82073
82074
82075
82076
82077
82078
82079
82080
82081
82082
82083
82084
82085
82086
82087
82088
82089
82090
82091
82092
82093
82094
82095
82096
82097
82098
82099
82100
82101
82102
82103
82104
82105
82106
82107
82108
82109
82110
82111
82112
82113
82114
82115
82116
82117
82118
82119
82120
82121
82122
82123
82124
82125
82126
82127
82128
82129
82130
82131
82132
82133
82134
82135
82136
82137
82138
82139
82140
82141
82142
82143
82144
82145
82146
82147
82148
82149
82150
82151
82152
82153
82154
82155
82156
82157
82158
82159
82160
82161
82162
82163
82164
82165
82166
82167
82168
82169
82170
82171
82172
82173
82174
82175
82176
82177
82178
82179
82180
82181
82182
82183
82184
82185
82186
82187
82188
82189
82190
82191
82192
82193
82194
82195
82196
82197
82198
82199
82200
82201
82202
82203
82204
82205
82206
82207
82208
82209
82210
82211
82212
82213
82214
82215
82216
82217
82218
82219
82220
82221
82222
82223
82224
82225
82226
82227
82228
82229
82230
82231
82232
82233
82234
82235
82236
82237
82238
82239
82240
82241
82242
82243
82244
82245
82246
82247
82248
82249
82250
82251
82252
82253
82254
82255
82256
82257
82258
82259
82260
82261
82262
82263
82264
82265
82266
82267
82268
82269
82270
82271
82272
82273
82274
82275
82276
82277
82278
82279
82280
82281
82282
82283
82284
82285
82286
82287
82288
82289
82290
82291
82292
82293
82294
82295
82296
82297
82298
82299
82300
82301
82302
82303
82304
82305
82306
82307
82308
82309
82310
82311
82312
82313
82314
82315
82316
82317
82318
82319
82320
82321
82322
82323
82324
82325
82326
82327
82328
82329
82330
82331
82332
82333
82334
82335
82336
82337
82338
82339
82340
82341
82342
82343
82344
82345
82346
82347
82348
82349
82350
82351
82352
82353
82354
82355
82356
82357
82358
82359
82360
82361
82362
82363
82364
82365
82366
82367
82368
82369
82370
82371
82372
82373
82374
82375
82376
82377
82378
82379
82380
82381
82382
82383module lm32_load_store_unit_minimal
82384(
82385
82386    clk_i,
82387    rst_i,
82388
82389    stall_a,
82390    stall_x,
82391    stall_m,
82392    kill_x,
82393    kill_m,
82394    exception_m,
82395    store_operand_x,
82396    load_store_address_x,
82397    load_store_address_m,
82398    load_store_address_w,
82399    load_x,
82400    store_x,
82401    load_q_x,
82402    store_q_x,
82403    load_q_m,
82404    store_q_m,
82405    sign_extend_x,
82406    size_x,
82407
82408
82409
82410
82411
82412    d_dat_i,
82413    d_ack_i,
82414    d_err_i,
82415    d_rty_i,
82416
82417
82418
82419
82420
82421
82422
82423
82424
82425
82426
82427
82428
82429
82430
82431
82432
82433
82434
82435    load_data_w,
82436    stall_wb_load,
82437
82438    d_dat_o,
82439    d_adr_o,
82440    d_cyc_o,
82441    d_sel_o,
82442    d_stb_o,
82443    d_we_o,
82444    d_cti_o,
82445    d_lock_o,
82446    d_bte_o
82447    );
82448
82449
82450
82451
82452
82453parameter associativity = 1;
82454parameter sets = 512;
82455parameter bytes_per_line = 16;
82456parameter base_address = 0;
82457parameter limit = 0;
82458
82459
82460localparam addr_offset_width = bytes_per_line == 4 ? 1 : clogb2(bytes_per_line)-1-2;
82461localparam addr_offset_lsb = 2;
82462localparam addr_offset_msb = (addr_offset_lsb+addr_offset_width-1);
82463
82464
82465
82466
82467
82468   input clk_i;
82469
82470input rst_i;
82471
82472input stall_a;
82473input stall_x;
82474input stall_m;
82475input kill_x;
82476input kill_m;
82477input exception_m;
82478
82479input [ (32-1):0] store_operand_x;
82480input [ (32-1):0] load_store_address_x;
82481input [ (32-1):0] load_store_address_m;
82482input [1:0] load_store_address_w;
82483input load_x;
82484input store_x;
82485input load_q_x;
82486input store_q_x;
82487input load_q_m;
82488input store_q_m;
82489input sign_extend_x;
82490input [ 1:0] size_x;
82491
82492
82493
82494
82495
82496
82497
82498
82499
82500
82501
82502
82503
82504
82505
82506
82507
82508   reg 		 [31:0] iram_dat_d0;
82509   reg 		 iram_en_d0;
82510   wire 	 iram_en;
82511   wire [31:0] 	 iram_data;
82512
82513
82514
82515input [ (32-1):0] d_dat_i;
82516input d_ack_i;
82517input d_err_i;
82518input d_rty_i;
82519
82520
82521
82522
82523
82524
82525
82526
82527
82528
82529
82530
82531
82532
82533
82534
82535
82536
82537output [ (32-1):0] load_data_w;
82538reg    [ (32-1):0] load_data_w;
82539output stall_wb_load;
82540reg    stall_wb_load;
82541
82542output [ (32-1):0] d_dat_o;
82543reg    [ (32-1):0] d_dat_o;
82544output [ (32-1):0] d_adr_o;
82545reg    [ (32-1):0] d_adr_o;
82546output d_cyc_o;
82547reg    d_cyc_o;
82548output [ (4-1):0] d_sel_o;
82549reg    [ (4-1):0] d_sel_o;
82550output d_stb_o;
82551reg    d_stb_o;
82552output d_we_o;
82553reg    d_we_o;
82554output [ (3-1):0] d_cti_o;
82555reg    [ (3-1):0] d_cti_o;
82556output d_lock_o;
82557reg    d_lock_o;
82558output [ (2-1):0] d_bte_o;
82559wire   [ (2-1):0] d_bte_o;
82560
82561
82562
82563
82564
82565
82566reg [ 1:0] size_m;
82567reg [ 1:0] size_w;
82568reg sign_extend_m;
82569reg sign_extend_w;
82570reg [ (32-1):0] store_data_x;
82571reg [ (32-1):0] store_data_m;
82572reg [ (4-1):0] byte_enable_x;
82573reg [ (4-1):0] byte_enable_m;
82574wire [ (32-1):0] data_m;
82575reg [ (32-1):0] data_w;
82576
82577
82578
82579
82580
82581
82582
82583
82584
82585
82586
82587
82588
82589
82590
82591
82592
82593
82594
82595
82596
82597
82598
82599
82600
82601wire wb_select_x;
82602
82603
82604
82605
82606
82607
82608
82609
82610
82611
82612reg wb_select_m;
82613reg [ (32-1):0] wb_data_m;
82614reg wb_load_complete;
82615
82616
82617
82618
82619
82620
82621
82622
82623
82624
82625
82626
82627
82628
82629
82630
82631
82632
82633
82634
82635
82636
82637
82638
82639
82640
82641
82642
82643
82644
82645
82646
82647
82648
82649
82650function integer clogb2;
82651input [31:0] value;
82652begin
82653   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
82654        value = value >> 1;
82655end
82656endfunction
82657
82658function integer clogb2_v1;
82659input [31:0] value;
82660reg   [31:0] i;
82661reg   [31:0] temp;
82662begin
82663   temp = 0;
82664   i    = 0;
82665   for (i = 0; temp < value; i = i + 1)
82666	temp = 1<<i;
82667   clogb2_v1 = i-1;
82668end
82669endfunction
82670
82671
82672
82673
82674
82675
82676
82677
82678
82679
82680
82681
82682
82683
82684
82685
82686
82687
82688
82689
82690
82691
82692
82693
82694
82695
82696
82697
82698
82699
82700
82701
82702
82703
82704
82705
82706
82707
82708
82709
82710
82711
82712
82713
82714
82715
82716
82717
82718
82719
82720
82721
82722
82723
82724
82725
82726
82727
82728
82729
82730
82731
82732
82733
82734
82735
82736
82737
82738
82739
82740
82741
82742
82743
82744
82745
82746
82747
82748
82749
82750
82751
82752
82753
82754
82755
82756
82757
82758
82759
82760
82761
82762
82763
82764   assign wb_select_x =     1'b1
82765
82766
82767
82768
82769
82770
82771
82772
82773
82774
82775
82776
82777                     ;
82778
82779
82780always @(*)
82781begin
82782    case (size_x)
82783     2'b00:  store_data_x = {4{store_operand_x[7:0]}};
82784     2'b11: store_data_x = {2{store_operand_x[15:0]}};
82785     2'b10:  store_data_x = store_operand_x;
82786    default:          store_data_x = { 32{1'bx}};
82787    endcase
82788end
82789
82790
82791always @(*)
82792begin
82793    casez ({size_x, load_store_address_x[1:0]})
82794    { 2'b00, 2'b11}:  byte_enable_x = 4'b0001;
82795    { 2'b00, 2'b10}:  byte_enable_x = 4'b0010;
82796    { 2'b00, 2'b01}:  byte_enable_x = 4'b0100;
82797    { 2'b00, 2'b00}:  byte_enable_x = 4'b1000;
82798    { 2'b11, 2'b1?}: byte_enable_x = 4'b0011;
82799    { 2'b11, 2'b0?}: byte_enable_x = 4'b1100;
82800    { 2'b10, 2'b??}:  byte_enable_x = 4'b1111;
82801    default:                   byte_enable_x = 4'bxxxx;
82802    endcase
82803end
82804
82805
82806
82807
82808
82809
82810
82811
82812
82813
82814
82815
82816
82817
82818
82819
82820
82821
82822
82823
82824
82825
82826
82827
82828
82829
82830
82831
82832
82833
82834
82835
82836
82837
82838
82839
82840
82841
82842
82843
82844
82845
82846
82847
82848
82849
82850
82851
82852
82853
82854
82855
82856
82857
82858
82859
82860
82861
82862
82863
82864
82865
82866
82867
82868
82869
82870
82871
82872
82873
82874
82875
82876
82877
82878
82879   assign data_m = wb_data_m;
82880
82881
82882
82883
82884
82885
82886
82887
82888always @(*)
82889begin
82890    casez ({size_w, load_store_address_w[1:0]})
82891    { 2'b00, 2'b11}:  load_data_w = {{24{sign_extend_w & data_w[7]}}, data_w[7:0]};
82892    { 2'b00, 2'b10}:  load_data_w = {{24{sign_extend_w & data_w[15]}}, data_w[15:8]};
82893    { 2'b00, 2'b01}:  load_data_w = {{24{sign_extend_w & data_w[23]}}, data_w[23:16]};
82894    { 2'b00, 2'b00}:  load_data_w = {{24{sign_extend_w & data_w[31]}}, data_w[31:24]};
82895    { 2'b11, 2'b1?}: load_data_w = {{16{sign_extend_w & data_w[15]}}, data_w[15:0]};
82896    { 2'b11, 2'b0?}: load_data_w = {{16{sign_extend_w & data_w[31]}}, data_w[31:16]};
82897    { 2'b10, 2'b??}:  load_data_w = data_w;
82898    default:                   load_data_w = { 32{1'bx}};
82899    endcase
82900end
82901
82902
82903assign d_bte_o =  2'b00;
82904
82905
82906
82907
82908
82909
82910
82911
82912
82913
82914
82915
82916
82917
82918
82919
82920
82921
82922
82923
82924
82925
82926
82927
82928
82929
82930
82931
82932
82933
82934
82935
82936
82937
82938
82939
82940always @(posedge clk_i  )
82941begin
82942    if (rst_i ==  1'b1)
82943    begin
82944        d_cyc_o <=  1'b0;
82945        d_stb_o <=  1'b0;
82946        d_dat_o <= { 32{1'b0}};
82947        d_adr_o <= { 32{1'b0}};
82948        d_sel_o <= { 4{ 1'b0}};
82949        d_we_o <=  1'b0;
82950        d_cti_o <=  3'b111;
82951        d_lock_o <=  1'b0;
82952        wb_data_m <= { 32{1'b0}};
82953        wb_load_complete <=  1'b0;
82954        stall_wb_load <=  1'b0;
82955
82956
82957
82958
82959    end
82960    else
82961    begin
82962
82963
82964
82965
82966
82967
82968        if (d_cyc_o ==  1'b1)
82969        begin
82970
82971            if ((d_ack_i ==  1'b1) || (d_err_i ==  1'b1))
82972            begin
82973
82974
82975
82976
82977
82978
82979
82980
82981
82982                begin
82983
82984                    d_cyc_o <=  1'b0;
82985                    d_stb_o <=  1'b0;
82986                    d_lock_o <=  1'b0;
82987                end
82988
82989
82990
82991
82992
82993
82994
82995                wb_data_m <= d_dat_i;
82996
82997                wb_load_complete <= !d_we_o;
82998            end
82999
83000        end
83001        else
83002        begin
83003
83004
83005
83006
83007
83008
83009
83010
83011
83012
83013
83014
83015
83016
83017
83018                 if (   (store_q_m ==  1'b1)
83019                     && (stall_m ==  1'b0)
83020
83021
83022
83023
83024
83025
83026
83027
83028                    )
83029            begin
83030
83031                d_dat_o <= store_data_m;
83032                d_adr_o <= load_store_address_m;
83033                d_cyc_o <=  1'b1;
83034                d_sel_o <= byte_enable_m;
83035                d_stb_o <=  1'b1;
83036                d_we_o <=  1'b1;
83037                d_cti_o <=  3'b111;
83038            end
83039            else if (   (load_q_m ==  1'b1)
83040                     && (wb_select_m ==  1'b1)
83041                     && (wb_load_complete ==  1'b0)
83042
83043                    )
83044            begin
83045
83046                stall_wb_load <=  1'b0;
83047                d_adr_o <= load_store_address_m;
83048                d_cyc_o <=  1'b1;
83049                d_sel_o <= byte_enable_m;
83050                d_stb_o <=  1'b1;
83051                d_we_o <=  1'b0;
83052                d_cti_o <=  3'b111;
83053            end
83054        end
83055
83056        if (stall_m ==  1'b0)
83057            wb_load_complete <=  1'b0;
83058
83059        if ((load_q_x ==  1'b1) && (wb_select_x ==  1'b1) && (stall_x ==  1'b0))
83060            stall_wb_load <=  1'b1;
83061
83062        if ((kill_m ==  1'b1) || (exception_m ==  1'b1))
83063            stall_wb_load <=  1'b0;
83064    end
83065end
83066
83067
83068
83069
83070always @(posedge clk_i  )
83071begin
83072    if (rst_i ==  1'b1)
83073    begin
83074        sign_extend_m <=  1'b0;
83075        size_m <= 2'b00;
83076        byte_enable_m <=  1'b0;
83077        store_data_m <= { 32{1'b0}};
83078
83079
83080
83081
83082
83083
83084
83085
83086
83087
83088
83089
83090
83091        wb_select_m <=  1'b0;
83092    end
83093    else
83094    begin
83095        if (stall_m ==  1'b0)
83096        begin
83097            sign_extend_m <= sign_extend_x;
83098            size_m <= size_x;
83099            byte_enable_m <= byte_enable_x;
83100            store_data_m <= store_data_x;
83101
83102
83103
83104
83105
83106
83107
83108
83109
83110
83111
83112
83113
83114            wb_select_m <= wb_select_x;
83115        end
83116    end
83117end
83118
83119
83120always @(posedge clk_i  )
83121begin
83122    if (rst_i ==  1'b1)
83123    begin
83124        size_w <= 2'b00;
83125        data_w <= { 32{1'b0}};
83126        sign_extend_w <=  1'b0;
83127    end
83128    else
83129    begin
83130        size_w <= size_m;
83131
83132
83133
83134
83135
83136        data_w <= data_m;
83137
83138        sign_extend_w <= sign_extend_m;
83139    end
83140end
83141
83142
83143
83144
83145
83146
83147
83148endmodule
83149
83150
83151
83152
83153
83154
83155
83156
83157
83158
83159
83160
83161
83162
83163
83164
83165
83166
83167
83168
83169
83170
83171
83172
83173
83174
83175
83176
83177
83178
83179
83180
83181
83182
83183
83184
83185
83186
83187
83188
83189
83190
83191
83192
83193
83194
83195
83196
83197
83198
83199
83200
83201
83202
83203
83204
83205
83206
83207
83208
83209
83210
83211
83212
83213
83214
83215
83216
83217
83218
83219
83220
83221
83222
83223
83224
83225
83226
83227
83228
83229
83230
83231
83232
83233
83234
83235
83236
83237
83238
83239
83240
83241
83242
83243
83244
83245
83246
83247
83248
83249
83250
83251
83252
83253
83254
83255
83256
83257
83258
83259
83260
83261
83262
83263
83264
83265
83266
83267
83268
83269
83270
83271
83272
83273
83274
83275
83276
83277
83278
83279
83280
83281
83282
83283
83284
83285
83286
83287
83288
83289
83290
83291
83292
83293
83294
83295
83296
83297
83298
83299
83300
83301
83302
83303
83304
83305
83306
83307
83308
83309
83310
83311
83312
83313
83314
83315
83316
83317
83318
83319
83320
83321
83322
83323
83324
83325
83326
83327
83328
83329
83330
83331
83332
83333
83334
83335
83336
83337
83338
83339
83340
83341
83342
83343
83344
83345
83346
83347
83348
83349
83350
83351
83352
83353
83354
83355
83356
83357
83358
83359
83360
83361
83362
83363
83364
83365
83366
83367
83368
83369
83370
83371
83372
83373
83374
83375
83376
83377
83378
83379
83380
83381
83382
83383
83384
83385
83386
83387
83388
83389
83390
83391
83392
83393
83394
83395
83396
83397
83398
83399
83400
83401
83402
83403
83404
83405
83406
83407
83408
83409
83410
83411
83412
83413
83414
83415
83416
83417
83418
83419
83420
83421
83422
83423
83424
83425
83426
83427
83428
83429
83430
83431
83432
83433
83434
83435
83436
83437
83438
83439
83440
83441
83442
83443
83444
83445
83446
83447
83448
83449
83450
83451
83452
83453
83454
83455
83456
83457
83458
83459
83460
83461
83462
83463
83464
83465
83466
83467
83468
83469
83470
83471
83472
83473
83474
83475
83476
83477
83478
83479
83480
83481
83482
83483
83484
83485
83486
83487
83488
83489
83490
83491
83492
83493
83494
83495
83496
83497
83498
83499
83500
83501
83502
83503
83504
83505
83506
83507
83508
83509
83510
83511
83512
83513
83514
83515
83516
83517
83518
83519
83520
83521
83522
83523
83524
83525
83526
83527
83528
83529
83530
83531
83532
83533
83534
83535
83536
83537
83538
83539
83540
83541
83542
83543
83544
83545
83546
83547
83548
83549
83550
83551
83552
83553
83554
83555
83556
83557
83558
83559
83560
83561
83562
83563
83564
83565
83566
83567
83568
83569
83570
83571
83572
83573
83574
83575
83576
83577
83578
83579
83580
83581
83582
83583
83584
83585
83586
83587
83588
83589
83590
83591
83592
83593
83594
83595
83596
83597
83598
83599
83600
83601
83602
83603
83604
83605
83606
83607
83608
83609
83610
83611
83612
83613module lm32_decoder_minimal (
83614
83615    instruction,
83616
83617    d_result_sel_0,
83618    d_result_sel_1,
83619    x_result_sel_csr,
83620
83621
83622
83623
83624
83625
83626    x_result_sel_shift,
83627
83628
83629
83630
83631
83632
83633    x_result_sel_logic,
83634
83635
83636
83637
83638    x_result_sel_add,
83639    m_result_sel_compare,
83640
83641
83642
83643
83644    w_result_sel_load,
83645
83646
83647
83648
83649    x_bypass_enable,
83650    m_bypass_enable,
83651    read_enable_0,
83652    read_idx_0,
83653    read_enable_1,
83654    read_idx_1,
83655    write_enable,
83656    write_idx,
83657    immediate,
83658    branch_offset,
83659    load,
83660    store,
83661    size,
83662    sign_extend,
83663    adder_op,
83664    logic_op,
83665
83666
83667
83668
83669
83670
83671
83672
83673
83674
83675
83676
83677
83678
83679
83680
83681
83682
83683    branch,
83684    branch_reg,
83685    condition,
83686    bi_conditional,
83687    bi_unconditional,
83688
83689
83690
83691
83692    scall,
83693    eret,
83694
83695
83696
83697
83698
83699
83700
83701
83702    csr_write_enable
83703    );
83704
83705
83706
83707
83708
83709input [ (32-1):0] instruction;
83710
83711
83712
83713
83714
83715output [ 0:0] d_result_sel_0;
83716reg    [ 0:0] d_result_sel_0;
83717output [ 1:0] d_result_sel_1;
83718reg    [ 1:0] d_result_sel_1;
83719output x_result_sel_csr;
83720reg    x_result_sel_csr;
83721
83722
83723
83724
83725
83726
83727
83728output x_result_sel_shift;
83729reg    x_result_sel_shift;
83730
83731
83732
83733
83734
83735
83736
83737output x_result_sel_logic;
83738reg    x_result_sel_logic;
83739
83740
83741
83742
83743
83744output x_result_sel_add;
83745reg    x_result_sel_add;
83746output m_result_sel_compare;
83747reg    m_result_sel_compare;
83748
83749
83750
83751
83752
83753output w_result_sel_load;
83754reg    w_result_sel_load;
83755
83756
83757
83758
83759
83760output x_bypass_enable;
83761wire   x_bypass_enable;
83762output m_bypass_enable;
83763wire   m_bypass_enable;
83764output read_enable_0;
83765wire   read_enable_0;
83766output [ (5-1):0] read_idx_0;
83767wire   [ (5-1):0] read_idx_0;
83768output read_enable_1;
83769wire   read_enable_1;
83770output [ (5-1):0] read_idx_1;
83771wire   [ (5-1):0] read_idx_1;
83772output write_enable;
83773wire   write_enable;
83774output [ (5-1):0] write_idx;
83775wire   [ (5-1):0] write_idx;
83776output [ (32-1):0] immediate;
83777wire   [ (32-1):0] immediate;
83778output [ ((32-2)+2-1):2] branch_offset;
83779wire   [ ((32-2)+2-1):2] branch_offset;
83780output load;
83781wire   load;
83782output store;
83783wire   store;
83784output [ 1:0] size;
83785wire   [ 1:0] size;
83786output sign_extend;
83787wire   sign_extend;
83788output adder_op;
83789wire   adder_op;
83790output [ 3:0] logic_op;
83791wire   [ 3:0] logic_op;
83792
83793
83794
83795
83796
83797
83798
83799
83800
83801
83802
83803
83804
83805
83806
83807
83808
83809
83810
83811
83812
83813
83814
83815
83816output branch;
83817wire   branch;
83818output branch_reg;
83819wire   branch_reg;
83820output [ (3-1):0] condition;
83821wire   [ (3-1):0] condition;
83822output bi_conditional;
83823wire bi_conditional;
83824output bi_unconditional;
83825wire bi_unconditional;
83826
83827
83828
83829
83830
83831output scall;
83832wire   scall;
83833output eret;
83834wire   eret;
83835
83836
83837
83838
83839
83840
83841
83842
83843
83844
83845output csr_write_enable;
83846wire   csr_write_enable;
83847
83848
83849
83850
83851
83852wire [ (32-1):0] extended_immediate;
83853wire [ (32-1):0] high_immediate;
83854wire [ (32-1):0] call_immediate;
83855wire [ (32-1):0] branch_immediate;
83856wire sign_extend_immediate;
83857wire select_high_immediate;
83858wire select_call_immediate;
83859
83860wire op_add;
83861wire op_and;
83862wire op_andhi;
83863wire op_b;
83864wire op_bi;
83865wire op_be;
83866wire op_bg;
83867wire op_bge;
83868wire op_bgeu;
83869wire op_bgu;
83870wire op_bne;
83871wire op_call;
83872wire op_calli;
83873wire op_cmpe;
83874wire op_cmpg;
83875wire op_cmpge;
83876wire op_cmpgeu;
83877wire op_cmpgu;
83878wire op_cmpne;
83879
83880
83881
83882
83883wire op_lb;
83884wire op_lbu;
83885wire op_lh;
83886wire op_lhu;
83887wire op_lw;
83888
83889
83890
83891
83892
83893
83894
83895
83896wire op_nor;
83897wire op_or;
83898wire op_orhi;
83899wire op_raise;
83900wire op_rcsr;
83901wire op_sb;
83902
83903
83904
83905
83906
83907wire op_sh;
83908
83909
83910
83911
83912wire op_sr;
83913wire op_sru;
83914wire op_sub;
83915wire op_sw;
83916
83917
83918
83919
83920wire op_wcsr;
83921wire op_xnor;
83922wire op_xor;
83923
83924wire arith;
83925wire logical;
83926wire cmp;
83927wire bra;
83928wire call;
83929
83930
83931
83932
83933
83934
83935wire shift;
83936
83937
83938
83939
83940
83941
83942
83943
83944
83945
83946
83947
83948
83949
83950
83951
83952
83953
83954
83955
83956
83957
83958
83959
83960
83961
83962
83963
83964
83965
83966
83967
83968
83969
83970
83971
83972
83973
83974
83975
83976
83977function integer clogb2;
83978input [31:0] value;
83979begin
83980   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
83981        value = value >> 1;
83982end
83983endfunction
83984
83985function integer clogb2_v1;
83986input [31:0] value;
83987reg   [31:0] i;
83988reg   [31:0] temp;
83989begin
83990   temp = 0;
83991   i    = 0;
83992   for (i = 0; temp < value; i = i + 1)
83993	temp = 1<<i;
83994   clogb2_v1 = i-1;
83995end
83996endfunction
83997
83998
83999
84000
84001
84002
84003
84004
84005
84006assign op_add    = instruction[ 30:26] ==  5'b01101;
84007assign op_and    = instruction[ 30:26] ==  5'b01000;
84008assign op_andhi  = instruction[ 31:26] ==  6'b011000;
84009assign op_b      = instruction[ 31:26] ==  6'b110000;
84010assign op_bi     = instruction[ 31:26] ==  6'b111000;
84011assign op_be     = instruction[ 31:26] ==  6'b010001;
84012assign op_bg     = instruction[ 31:26] ==  6'b010010;
84013assign op_bge    = instruction[ 31:26] ==  6'b010011;
84014assign op_bgeu   = instruction[ 31:26] ==  6'b010100;
84015assign op_bgu    = instruction[ 31:26] ==  6'b010101;
84016assign op_bne    = instruction[ 31:26] ==  6'b010111;
84017assign op_call   = instruction[ 31:26] ==  6'b110110;
84018assign op_calli  = instruction[ 31:26] ==  6'b111110;
84019assign op_cmpe   = instruction[ 30:26] ==  5'b11001;
84020assign op_cmpg   = instruction[ 30:26] ==  5'b11010;
84021assign op_cmpge  = instruction[ 30:26] ==  5'b11011;
84022assign op_cmpgeu = instruction[ 30:26] ==  5'b11100;
84023assign op_cmpgu  = instruction[ 30:26] ==  5'b11101;
84024assign op_cmpne  = instruction[ 30:26] ==  5'b11111;
84025
84026
84027
84028
84029assign op_lb     = instruction[ 31:26] ==  6'b000100;
84030assign op_lbu    = instruction[ 31:26] ==  6'b010000;
84031assign op_lh     = instruction[ 31:26] ==  6'b000111;
84032assign op_lhu    = instruction[ 31:26] ==  6'b001011;
84033assign op_lw     = instruction[ 31:26] ==  6'b001010;
84034
84035
84036
84037
84038
84039
84040
84041
84042assign op_nor    = instruction[ 30:26] ==  5'b00001;
84043assign op_or     = instruction[ 30:26] ==  5'b01110;
84044assign op_orhi   = instruction[ 31:26] ==  6'b011110;
84045assign op_raise  = instruction[ 31:26] ==  6'b101011;
84046assign op_rcsr   = instruction[ 31:26] ==  6'b100100;
84047assign op_sb     = instruction[ 31:26] ==  6'b001100;
84048
84049
84050
84051
84052
84053assign op_sh     = instruction[ 31:26] ==  6'b000011;
84054
84055
84056
84057
84058assign op_sr     = instruction[ 30:26] ==  5'b00101;
84059assign op_sru    = instruction[ 30:26] ==  5'b00000;
84060assign op_sub    = instruction[ 31:26] ==  6'b110010;
84061assign op_sw     = instruction[ 31:26] ==  6'b010110;
84062
84063
84064
84065
84066assign op_wcsr   = instruction[ 31:26] ==  6'b110100;
84067assign op_xnor   = instruction[ 30:26] ==  5'b01001;
84068assign op_xor    = instruction[ 30:26] ==  5'b00110;
84069
84070
84071assign arith = op_add | op_sub;
84072assign logical = op_and | op_andhi | op_nor | op_or | op_orhi | op_xor | op_xnor;
84073assign cmp = op_cmpe | op_cmpg | op_cmpge | op_cmpgeu | op_cmpgu | op_cmpne;
84074assign bi_conditional = op_be | op_bg | op_bge | op_bgeu  | op_bgu | op_bne;
84075assign bi_unconditional = op_bi;
84076assign bra = op_b | bi_unconditional | bi_conditional;
84077assign call = op_call | op_calli;
84078
84079
84080
84081
84082
84083
84084assign shift = op_sr | op_sru;
84085
84086
84087
84088
84089
84090
84091
84092
84093
84094
84095
84096
84097
84098
84099
84100
84101
84102
84103
84104
84105assign load = op_lb | op_lbu | op_lh | op_lhu | op_lw;
84106assign store = op_sb | op_sh | op_sw;
84107
84108
84109always @(*)
84110begin
84111
84112    if (call)
84113        d_result_sel_0 =  1'b1;
84114    else
84115        d_result_sel_0 =  1'b0;
84116    if (call)
84117        d_result_sel_1 =  2'b00;
84118    else if ((instruction[31] == 1'b0) && !bra)
84119        d_result_sel_1 =  2'b10;
84120    else
84121        d_result_sel_1 =  2'b01;
84122
84123    x_result_sel_csr =  1'b0;
84124
84125
84126
84127
84128
84129
84130    x_result_sel_shift =  1'b0;
84131
84132
84133
84134
84135
84136
84137    x_result_sel_logic =  1'b0;
84138
84139
84140
84141
84142    x_result_sel_add =  1'b0;
84143    if (op_rcsr)
84144        x_result_sel_csr =  1'b1;
84145
84146
84147
84148
84149
84150
84151
84152
84153
84154
84155
84156
84157
84158
84159
84160
84161
84162    else if (shift)
84163        x_result_sel_shift =  1'b1;
84164
84165
84166
84167
84168
84169
84170
84171    else if (logical)
84172        x_result_sel_logic =  1'b1;
84173
84174
84175
84176
84177
84178    else
84179        x_result_sel_add =  1'b1;
84180
84181
84182
84183    m_result_sel_compare = cmp;
84184
84185
84186
84187
84188
84189
84190    w_result_sel_load = load;
84191
84192
84193
84194
84195end
84196
84197
84198assign x_bypass_enable =  arith
84199                        | logical
84200
84201
84202
84203
84204
84205
84206
84207
84208
84209
84210
84211
84212
84213
84214
84215
84216                        | shift
84217
84218
84219
84220
84221
84222
84223
84224
84225
84226
84227                        | op_rcsr
84228                        ;
84229
84230assign m_bypass_enable = x_bypass_enable
84231
84232
84233
84234
84235                        | cmp
84236                        ;
84237
84238assign read_enable_0 = ~(op_bi | op_calli);
84239assign read_idx_0 = instruction[25:21];
84240
84241assign read_enable_1 = ~(op_bi | op_calli | load);
84242assign read_idx_1 = instruction[20:16];
84243
84244assign write_enable = ~(bra | op_raise | store | op_wcsr);
84245assign write_idx = call
84246                    ? 5'd29
84247                    : instruction[31] == 1'b0
84248                        ? instruction[20:16]
84249                        : instruction[15:11];
84250
84251
84252assign size = instruction[27:26];
84253
84254assign sign_extend = instruction[28];
84255
84256assign adder_op = op_sub | op_cmpe | op_cmpg | op_cmpge | op_cmpgeu | op_cmpgu | op_cmpne | bra;
84257
84258assign logic_op = instruction[29:26];
84259
84260
84261
84262
84263
84264
84265assign branch = bra | call;
84266assign branch_reg = op_call | op_b;
84267assign condition = instruction[28:26];
84268
84269
84270
84271
84272assign scall = op_raise & instruction[2];
84273assign eret = op_b & (instruction[25:21] == 5'd30);
84274
84275
84276
84277
84278
84279
84280
84281
84282
84283
84284assign csr_write_enable = op_wcsr;
84285
84286
84287
84288assign sign_extend_immediate = ~(op_and | op_cmpgeu | op_cmpgu | op_nor | op_or | op_xnor | op_xor);
84289assign select_high_immediate = op_andhi | op_orhi;
84290assign select_call_immediate = instruction[31];
84291
84292assign high_immediate = {instruction[15:0], 16'h0000};
84293assign extended_immediate = {{16{sign_extend_immediate & instruction[15]}}, instruction[15:0]};
84294assign call_immediate = {{6{instruction[25]}}, instruction[25:0]};
84295assign branch_immediate = {{16{instruction[15]}}, instruction[15:0]};
84296
84297assign immediate = select_high_immediate ==  1'b1
84298                        ? high_immediate
84299                        : extended_immediate;
84300
84301assign branch_offset = select_call_immediate ==  1'b1
84302                        ? (call_immediate[ (32-2)-1:0])
84303                        : (branch_immediate[ (32-2)-1:0]);
84304
84305endmodule
84306
84307
84308
84309
84310
84311
84312
84313
84314
84315
84316
84317
84318
84319
84320
84321
84322
84323
84324
84325
84326
84327
84328
84329
84330
84331
84332
84333
84334
84335
84336
84337
84338
84339
84340
84341
84342
84343
84344
84345
84346
84347
84348
84349
84350
84351
84352
84353
84354
84355
84356
84357
84358
84359
84360
84361
84362
84363
84364
84365
84366
84367
84368
84369
84370
84371
84372
84373
84374
84375
84376
84377
84378
84379
84380
84381
84382
84383
84384
84385
84386
84387
84388
84389
84390
84391
84392
84393
84394
84395
84396
84397
84398
84399
84400
84401
84402
84403
84404
84405
84406
84407
84408
84409
84410
84411
84412
84413
84414
84415
84416
84417
84418
84419
84420
84421
84422
84423
84424
84425
84426
84427
84428
84429
84430
84431
84432
84433
84434
84435
84436
84437
84438
84439
84440
84441
84442
84443
84444
84445
84446
84447
84448
84449
84450
84451
84452
84453
84454
84455
84456
84457
84458
84459
84460
84461
84462
84463
84464
84465
84466
84467
84468
84469
84470
84471
84472
84473
84474
84475
84476
84477
84478
84479
84480
84481
84482
84483
84484
84485
84486
84487
84488
84489
84490
84491
84492
84493
84494
84495
84496
84497
84498
84499
84500
84501
84502
84503
84504
84505
84506
84507
84508
84509
84510
84511
84512
84513
84514
84515
84516
84517
84518
84519
84520
84521
84522
84523
84524
84525
84526
84527
84528
84529
84530
84531
84532
84533
84534
84535
84536
84537
84538
84539
84540
84541
84542
84543
84544
84545
84546
84547
84548
84549
84550
84551
84552
84553
84554
84555
84556
84557
84558
84559
84560
84561
84562
84563
84564
84565
84566
84567
84568
84569
84570
84571
84572
84573
84574
84575
84576
84577
84578
84579
84580
84581
84582
84583
84584
84585
84586
84587
84588
84589
84590
84591
84592
84593
84594
84595
84596
84597
84598
84599
84600
84601
84602
84603
84604
84605
84606
84607
84608
84609
84610
84611
84612
84613
84614
84615
84616
84617
84618
84619
84620
84621
84622
84623
84624
84625
84626
84627
84628
84629
84630
84631
84632
84633
84634
84635
84636
84637
84638
84639
84640
84641
84642
84643
84644
84645
84646
84647
84648
84649
84650
84651
84652
84653
84654
84655
84656
84657
84658
84659
84660
84661
84662
84663
84664
84665
84666
84667
84668
84669
84670
84671
84672
84673
84674
84675
84676
84677
84678
84679
84680
84681
84682
84683
84684
84685
84686
84687
84688
84689
84690
84691
84692
84693
84694
84695
84696
84697
84698
84699
84700
84701
84702
84703
84704
84705
84706
84707
84708
84709
84710
84711
84712
84713
84714
84715
84716
84717
84718
84719
84720
84721
84722
84723
84724
84725
84726
84727
84728
84729
84730
84731
84732
84733
84734
84735
84736
84737
84738
84739
84740
84741
84742
84743
84744
84745
84746
84747
84748
84749
84750
84751
84752
84753
84754
84755
84756
84757
84758
84759
84760
84761
84762
84763
84764
84765
84766
84767
84768
84769
84770
84771
84772
84773
84774
84775
84776
84777
84778
84779
84780
84781
84782
84783
84784
84785
84786
84787
84788
84789
84790
84791
84792
84793
84794
84795
84796
84797
84798
84799
84800
84801
84802
84803
84804
84805
84806
84807
84808
84809
84810
84811
84812
84813
84814
84815
84816
84817
84818
84819
84820
84821
84822
84823
84824
84825
84826
84827
84828
84829
84830
84831
84832
84833
84834
84835
84836
84837
84838
84839
84840
84841
84842
84843
84844
84845
84846
84847
84848
84849
84850
84851
84852
84853
84854
84855
84856
84857
84858
84859
84860
84861
84862
84863
84864
84865
84866
84867
84868
84869
84870
84871
84872
84873
84874
84875
84876
84877
84878
84879
84880
84881
84882
84883
84884
84885
84886
84887
84888
84889
84890
84891
84892
84893
84894
84895
84896
84897
84898
84899
84900
84901
84902
84903
84904
84905
84906
84907
84908
84909
84910
84911
84912
84913
84914
84915
84916
84917
84918
84919
84920
84921
84922
84923
84924
84925
84926
84927
84928
84929
84930
84931
84932
84933
84934
84935
84936
84937
84938
84939
84940
84941
84942
84943
84944
84945
84946
84947
84948
84949
84950
84951
84952
84953
84954
84955
84956
84957
84958
84959
84960
84961
84962
84963
84964
84965
84966
84967
84968
84969
84970
84971
84972
84973
84974
84975
84976
84977
84978
84979
84980
84981
84982
84983
84984
84985
84986
84987
84988
84989
84990
84991
84992
84993
84994
84995
84996
84997
84998
84999
85000
85001
85002
85003
85004
85005
85006
85007
85008
85009
85010
85011
85012
85013
85014
85015
85016
85017
85018
85019
85020
85021
85022
85023
85024
85025
85026
85027
85028
85029
85030
85031
85032
85033
85034
85035
85036
85037
85038
85039
85040
85041
85042
85043
85044
85045
85046
85047
85048
85049
85050
85051
85052
85053
85054
85055
85056
85057
85058
85059
85060
85061
85062
85063
85064
85065
85066
85067
85068
85069
85070
85071
85072
85073
85074
85075
85076
85077
85078
85079
85080
85081
85082
85083
85084
85085
85086
85087
85088
85089
85090
85091
85092
85093
85094
85095
85096
85097
85098
85099
85100
85101
85102
85103
85104
85105
85106
85107
85108
85109
85110
85111
85112
85113
85114
85115
85116
85117
85118
85119
85120
85121
85122
85123
85124
85125
85126
85127
85128
85129
85130
85131
85132
85133
85134
85135
85136
85137
85138
85139
85140
85141
85142
85143
85144
85145
85146
85147
85148
85149
85150
85151
85152
85153
85154
85155
85156
85157
85158
85159
85160
85161
85162
85163
85164
85165
85166
85167
85168
85169
85170
85171
85172
85173
85174
85175
85176
85177
85178
85179
85180
85181
85182
85183
85184
85185
85186
85187
85188
85189
85190
85191
85192
85193
85194
85195
85196
85197
85198
85199
85200
85201
85202
85203
85204
85205
85206
85207
85208
85209
85210
85211
85212
85213
85214
85215
85216
85217
85218
85219
85220
85221
85222
85223
85224
85225
85226
85227
85228
85229
85230
85231
85232
85233
85234
85235
85236
85237
85238
85239
85240
85241
85242
85243
85244
85245
85246
85247
85248
85249
85250
85251
85252
85253
85254
85255
85256
85257
85258
85259
85260
85261
85262
85263
85264
85265
85266
85267
85268
85269
85270
85271
85272
85273
85274
85275
85276
85277
85278
85279
85280
85281
85282
85283
85284
85285
85286
85287
85288
85289
85290
85291
85292
85293
85294
85295
85296
85297
85298
85299
85300
85301
85302
85303
85304
85305
85306
85307
85308
85309
85310
85311
85312
85313
85314
85315
85316
85317
85318
85319
85320
85321
85322
85323
85324
85325
85326
85327
85328
85329
85330
85331
85332
85333
85334
85335
85336
85337
85338
85339
85340
85341
85342
85343
85344
85345
85346
85347
85348
85349
85350
85351
85352
85353
85354
85355
85356
85357
85358
85359
85360
85361
85362
85363
85364
85365
85366
85367
85368
85369
85370
85371
85372
85373
85374
85375
85376
85377
85378
85379
85380
85381
85382
85383
85384
85385
85386
85387
85388
85389
85390
85391
85392
85393
85394
85395
85396
85397
85398
85399
85400
85401
85402
85403
85404
85405
85406
85407
85408
85409
85410
85411
85412
85413
85414
85415
85416
85417
85418
85419
85420
85421
85422
85423
85424
85425
85426
85427
85428
85429
85430
85431
85432
85433
85434
85435
85436
85437
85438
85439
85440
85441
85442
85443
85444
85445
85446
85447
85448
85449
85450
85451
85452
85453
85454
85455
85456
85457
85458
85459
85460
85461
85462
85463
85464
85465
85466
85467
85468
85469
85470
85471
85472
85473
85474
85475
85476
85477
85478
85479
85480
85481
85482
85483
85484
85485
85486
85487
85488
85489
85490
85491
85492
85493
85494
85495
85496
85497
85498
85499
85500
85501
85502
85503
85504
85505
85506
85507
85508
85509
85510
85511
85512
85513
85514
85515
85516
85517
85518
85519
85520
85521
85522
85523
85524
85525
85526
85527
85528
85529
85530
85531
85532
85533
85534
85535
85536
85537
85538
85539
85540
85541
85542
85543
85544
85545
85546
85547
85548
85549
85550
85551
85552
85553
85554
85555
85556
85557
85558
85559
85560
85561
85562
85563
85564
85565
85566
85567
85568
85569
85570
85571
85572
85573
85574
85575
85576
85577
85578
85579
85580
85581
85582
85583
85584
85585
85586
85587
85588
85589
85590
85591
85592
85593
85594
85595
85596
85597
85598
85599
85600
85601
85602
85603
85604
85605
85606
85607
85608
85609
85610
85611
85612
85613
85614
85615
85616
85617
85618
85619
85620
85621
85622
85623
85624
85625
85626
85627
85628
85629
85630
85631
85632
85633
85634
85635
85636
85637
85638
85639
85640
85641
85642
85643
85644
85645
85646
85647
85648
85649
85650
85651
85652
85653
85654
85655
85656
85657
85658
85659
85660
85661
85662
85663
85664
85665
85666
85667
85668
85669
85670
85671
85672
85673
85674
85675
85676
85677
85678
85679
85680
85681
85682
85683
85684
85685
85686
85687
85688
85689
85690
85691
85692
85693
85694
85695
85696
85697
85698
85699
85700
85701
85702
85703
85704
85705
85706
85707
85708
85709
85710
85711
85712
85713
85714
85715
85716
85717
85718
85719
85720
85721
85722
85723
85724
85725
85726
85727
85728
85729
85730
85731
85732
85733
85734
85735
85736
85737
85738
85739
85740
85741
85742
85743
85744
85745
85746
85747
85748
85749
85750
85751
85752
85753
85754
85755
85756
85757
85758
85759
85760
85761
85762
85763
85764
85765
85766
85767
85768
85769
85770
85771
85772
85773
85774
85775
85776
85777
85778
85779
85780
85781
85782
85783
85784
85785
85786
85787
85788
85789
85790
85791
85792
85793
85794
85795
85796
85797
85798
85799
85800
85801
85802
85803
85804
85805
85806
85807
85808
85809
85810
85811
85812
85813
85814
85815
85816
85817
85818
85819
85820
85821
85822
85823
85824
85825
85826
85827
85828
85829
85830
85831
85832
85833
85834
85835
85836
85837
85838
85839
85840
85841
85842
85843
85844
85845
85846
85847
85848
85849
85850
85851
85852
85853
85854
85855
85856
85857
85858
85859
85860
85861
85862
85863
85864
85865
85866
85867
85868
85869
85870
85871
85872
85873
85874
85875
85876
85877
85878
85879
85880
85881
85882
85883
85884
85885
85886
85887
85888
85889
85890
85891
85892
85893
85894
85895
85896
85897
85898
85899
85900
85901
85902
85903
85904
85905
85906
85907
85908
85909
85910
85911
85912
85913
85914
85915
85916
85917
85918
85919
85920
85921
85922
85923
85924
85925
85926
85927
85928
85929
85930
85931
85932
85933
85934
85935
85936
85937
85938
85939
85940
85941
85942
85943
85944
85945
85946
85947
85948
85949
85950
85951
85952
85953
85954
85955
85956
85957
85958
85959
85960
85961
85962
85963
85964
85965
85966
85967
85968
85969
85970
85971
85972
85973
85974
85975
85976
85977
85978
85979
85980
85981
85982
85983
85984
85985
85986
85987
85988
85989
85990
85991
85992
85993
85994
85995
85996
85997
85998
85999
86000
86001
86002
86003
86004
86005
86006
86007
86008
86009
86010
86011
86012
86013
86014
86015
86016
86017
86018
86019
86020
86021
86022
86023
86024
86025
86026
86027
86028
86029
86030
86031
86032
86033
86034
86035
86036
86037
86038
86039
86040
86041
86042
86043
86044
86045
86046
86047
86048
86049
86050
86051
86052
86053
86054
86055
86056
86057
86058
86059
86060
86061
86062
86063
86064
86065
86066
86067
86068
86069
86070
86071
86072
86073
86074
86075
86076
86077
86078
86079
86080
86081
86082
86083
86084
86085
86086
86087
86088
86089
86090
86091
86092
86093
86094
86095
86096
86097
86098
86099
86100
86101
86102
86103
86104
86105
86106
86107
86108
86109
86110
86111
86112
86113
86114
86115
86116
86117
86118
86119
86120
86121
86122
86123
86124
86125
86126
86127
86128
86129
86130
86131
86132
86133
86134
86135
86136
86137
86138
86139
86140
86141
86142
86143
86144
86145
86146
86147
86148
86149
86150
86151
86152
86153
86154
86155
86156
86157
86158
86159
86160
86161
86162
86163
86164
86165
86166
86167
86168
86169
86170
86171
86172
86173
86174
86175
86176
86177
86178
86179
86180
86181
86182
86183
86184
86185
86186
86187
86188
86189
86190
86191
86192
86193
86194
86195
86196
86197
86198
86199
86200
86201
86202
86203
86204
86205
86206
86207
86208
86209
86210
86211
86212
86213
86214
86215
86216
86217
86218
86219
86220
86221
86222
86223
86224
86225
86226
86227
86228
86229
86230
86231
86232
86233
86234
86235
86236
86237
86238
86239
86240
86241
86242
86243
86244
86245
86246
86247
86248
86249
86250
86251
86252
86253
86254
86255
86256
86257
86258
86259
86260
86261
86262
86263
86264
86265
86266
86267
86268
86269
86270
86271
86272
86273
86274
86275
86276
86277
86278
86279
86280
86281
86282
86283
86284
86285
86286
86287
86288
86289
86290
86291
86292
86293
86294
86295
86296
86297
86298
86299
86300
86301
86302
86303
86304
86305
86306
86307
86308
86309
86310
86311
86312
86313
86314
86315
86316
86317
86318
86319
86320
86321
86322
86323
86324
86325
86326
86327
86328
86329
86330
86331
86332
86333
86334
86335
86336
86337
86338
86339
86340
86341
86342
86343
86344
86345
86346
86347
86348
86349
86350
86351
86352
86353
86354
86355
86356
86357
86358
86359
86360
86361
86362
86363
86364
86365
86366
86367
86368
86369
86370
86371
86372
86373
86374
86375
86376
86377
86378
86379
86380
86381
86382
86383
86384
86385
86386
86387
86388
86389
86390
86391
86392
86393
86394
86395
86396
86397
86398
86399
86400
86401
86402
86403
86404
86405
86406
86407
86408
86409
86410
86411
86412
86413
86414
86415
86416
86417
86418
86419
86420
86421
86422
86423
86424
86425
86426
86427
86428
86429
86430
86431
86432
86433
86434
86435
86436
86437
86438
86439
86440
86441
86442
86443
86444
86445
86446
86447
86448
86449
86450
86451
86452
86453
86454
86455
86456
86457
86458
86459
86460
86461
86462
86463
86464
86465
86466
86467
86468
86469
86470
86471
86472
86473
86474
86475
86476
86477
86478
86479
86480
86481
86482
86483
86484
86485
86486
86487
86488
86489
86490
86491
86492
86493
86494
86495
86496
86497
86498
86499
86500
86501
86502
86503
86504
86505
86506
86507
86508
86509
86510
86511
86512
86513
86514
86515
86516
86517
86518
86519
86520
86521
86522
86523
86524
86525
86526
86527
86528
86529
86530
86531
86532
86533
86534
86535
86536
86537
86538
86539
86540
86541
86542
86543
86544
86545
86546
86547
86548
86549
86550
86551
86552
86553
86554
86555
86556
86557
86558
86559
86560
86561
86562
86563
86564
86565
86566
86567
86568
86569
86570
86571
86572
86573
86574
86575
86576
86577
86578
86579
86580
86581
86582
86583
86584
86585
86586
86587
86588
86589
86590
86591
86592
86593
86594
86595
86596
86597
86598
86599
86600
86601
86602
86603
86604
86605
86606
86607
86608
86609
86610
86611
86612
86613
86614
86615
86616
86617
86618
86619
86620
86621
86622
86623
86624
86625
86626
86627
86628
86629
86630
86631
86632
86633
86634
86635
86636
86637
86638
86639
86640
86641
86642
86643
86644
86645
86646
86647
86648
86649
86650
86651
86652
86653
86654
86655
86656
86657
86658
86659
86660
86661
86662
86663
86664
86665
86666
86667
86668
86669
86670
86671
86672
86673
86674
86675
86676
86677
86678
86679
86680
86681
86682
86683
86684
86685
86686
86687
86688
86689
86690
86691
86692
86693
86694
86695
86696
86697
86698
86699
86700
86701
86702
86703
86704
86705
86706
86707
86708
86709
86710
86711
86712
86713
86714
86715
86716
86717
86718
86719
86720
86721
86722
86723
86724
86725
86726
86727
86728
86729
86730
86731
86732
86733
86734
86735
86736
86737
86738
86739
86740
86741
86742
86743
86744
86745
86746
86747
86748
86749
86750
86751
86752
86753
86754
86755
86756
86757
86758
86759
86760
86761
86762
86763
86764
86765
86766
86767
86768
86769
86770
86771
86772
86773
86774
86775
86776
86777
86778
86779
86780
86781
86782
86783
86784
86785
86786
86787
86788
86789
86790
86791
86792
86793
86794
86795
86796
86797
86798
86799
86800
86801
86802
86803
86804
86805
86806
86807
86808
86809
86810
86811
86812
86813
86814
86815
86816
86817
86818
86819
86820
86821
86822
86823
86824
86825
86826
86827
86828
86829
86830
86831
86832
86833
86834
86835
86836
86837
86838
86839
86840
86841
86842
86843
86844
86845
86846
86847
86848
86849
86850
86851
86852
86853
86854
86855
86856
86857
86858
86859
86860
86861
86862
86863
86864
86865
86866
86867
86868
86869
86870
86871
86872
86873
86874
86875
86876
86877
86878
86879
86880
86881
86882
86883
86884
86885
86886
86887
86888
86889
86890
86891
86892
86893
86894
86895
86896
86897
86898
86899
86900
86901
86902
86903
86904
86905
86906
86907
86908
86909
86910
86911
86912
86913
86914
86915
86916
86917
86918
86919
86920
86921
86922
86923
86924
86925
86926
86927
86928
86929
86930
86931
86932
86933
86934
86935
86936
86937
86938
86939
86940
86941
86942
86943
86944
86945
86946
86947
86948
86949
86950
86951
86952
86953
86954
86955
86956
86957
86958
86959
86960
86961
86962
86963
86964
86965
86966
86967
86968
86969
86970
86971
86972
86973
86974
86975
86976
86977
86978
86979
86980
86981
86982
86983
86984
86985
86986
86987
86988
86989
86990
86991
86992
86993
86994
86995
86996
86997
86998
86999
87000
87001
87002
87003
87004
87005
87006
87007
87008
87009module lm32_instruction_unit_minimal (
87010
87011    clk_i,
87012    rst_i,
87013
87014    stall_a,
87015    stall_f,
87016    stall_d,
87017    stall_x,
87018    stall_m,
87019    valid_f,
87020    valid_d,
87021    kill_f,
87022    branch_predict_taken_d,
87023    branch_predict_address_d,
87024
87025
87026
87027
87028
87029    exception_m,
87030    branch_taken_m,
87031    branch_mispredict_taken_m,
87032    branch_target_m,
87033
87034
87035
87036
87037
87038
87039
87040
87041
87042
87043
87044
87045
87046    i_dat_i,
87047    i_ack_i,
87048    i_err_i,
87049    i_rty_i,
87050
87051
87052
87053
87054
87055
87056
87057
87058
87059
87060
87061    pc_f,
87062    pc_d,
87063    pc_x,
87064    pc_m,
87065    pc_w,
87066
87067
87068
87069
87070
87071
87072
87073
87074
87075
87076    i_dat_o,
87077    i_adr_o,
87078    i_cyc_o,
87079    i_sel_o,
87080    i_stb_o,
87081    i_we_o,
87082    i_cti_o,
87083    i_lock_o,
87084    i_bte_o,
87085
87086
87087
87088
87089
87090
87091
87092
87093
87094
87095
87096
87097
87098
87099
87100
87101
87102
87103
87104    instruction_f,
87105
87106
87107    instruction_d
87108    );
87109
87110
87111
87112
87113
87114parameter eba_reset =  32'h00000000;
87115parameter associativity = 1;
87116parameter sets = 512;
87117parameter bytes_per_line = 16;
87118parameter base_address = 0;
87119parameter limit = 0;
87120
87121
87122localparam eba_reset_minus_4 = eba_reset - 4;
87123localparam addr_offset_width = bytes_per_line == 4 ? 1 : clogb2(bytes_per_line)-1-2;
87124localparam addr_offset_lsb = 2;
87125localparam addr_offset_msb = (addr_offset_lsb+addr_offset_width-1);
87126
87127
87128
87129
87130
87131
87132
87133
87134
87135
87136
87137
87138input clk_i;
87139input rst_i;
87140
87141input stall_a;
87142input stall_f;
87143input stall_d;
87144input stall_x;
87145input stall_m;
87146input valid_f;
87147input valid_d;
87148input kill_f;
87149
87150input branch_predict_taken_d;
87151input [ ((32-2)+2-1):2] branch_predict_address_d;
87152
87153
87154
87155
87156
87157
87158input exception_m;
87159input branch_taken_m;
87160input branch_mispredict_taken_m;
87161input [ ((32-2)+2-1):2] branch_target_m;
87162
87163
87164
87165
87166
87167
87168
87169
87170
87171
87172
87173
87174
87175
87176
87177input [ (32-1):0] i_dat_i;
87178input i_ack_i;
87179input i_err_i;
87180input i_rty_i;
87181
87182
87183
87184
87185
87186
87187
87188
87189
87190
87191
87192
87193
87194
87195
87196output [ ((32-2)+2-1):2] pc_f;
87197reg    [ ((32-2)+2-1):2] pc_f;
87198output [ ((32-2)+2-1):2] pc_d;
87199reg    [ ((32-2)+2-1):2] pc_d;
87200output [ ((32-2)+2-1):2] pc_x;
87201reg    [ ((32-2)+2-1):2] pc_x;
87202output [ ((32-2)+2-1):2] pc_m;
87203reg    [ ((32-2)+2-1):2] pc_m;
87204output [ ((32-2)+2-1):2] pc_w;
87205reg    [ ((32-2)+2-1):2] pc_w;
87206
87207
87208
87209
87210
87211
87212
87213
87214
87215
87216
87217
87218
87219
87220
87221output [ (32-1):0] i_dat_o;
87222
87223
87224
87225
87226wire   [ (32-1):0] i_dat_o;
87227
87228
87229output [ (32-1):0] i_adr_o;
87230reg    [ (32-1):0] i_adr_o;
87231output i_cyc_o;
87232reg    i_cyc_o;
87233output [ (4-1):0] i_sel_o;
87234
87235
87236
87237
87238wire   [ (4-1):0] i_sel_o;
87239
87240
87241output i_stb_o;
87242reg    i_stb_o;
87243output i_we_o;
87244
87245
87246
87247
87248wire   i_we_o;
87249
87250
87251output [ (3-1):0] i_cti_o;
87252reg    [ (3-1):0] i_cti_o;
87253output i_lock_o;
87254reg    i_lock_o;
87255output [ (2-1):0] i_bte_o;
87256wire   [ (2-1):0] i_bte_o;
87257
87258
87259
87260
87261
87262
87263
87264
87265
87266
87267
87268
87269
87270
87271
87272
87273
87274
87275output [ (32-1):0] instruction_f;
87276wire   [ (32-1):0] instruction_f;
87277
87278
87279output [ (32-1):0] instruction_d;
87280reg    [ (32-1):0] instruction_d;
87281
87282
87283
87284
87285
87286reg [ ((32-2)+2-1):2] pc_a;
87287
87288
87289
87290
87291
87292
87293
87294
87295
87296
87297
87298
87299
87300
87301
87302
87303
87304
87305
87306
87307reg [ (32-1):0] wb_data_f;
87308
87309
87310
87311
87312
87313
87314
87315
87316
87317
87318
87319
87320
87321
87322
87323
87324
87325
87326
87327
87328
87329
87330
87331
87332
87333
87334
87335
87336
87337
87338
87339
87340
87341
87342
87343
87344
87345
87346
87347
87348
87349
87350
87351
87352
87353
87354
87355
87356
87357
87358
87359
87360
87361
87362
87363
87364
87365
87366
87367
87368
87369
87370
87371
87372
87373
87374
87375
87376function integer clogb2;
87377input [31:0] value;
87378begin
87379   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
87380        value = value >> 1;
87381end
87382endfunction
87383
87384function integer clogb2_v1;
87385input [31:0] value;
87386reg   [31:0] i;
87387reg   [31:0] temp;
87388begin
87389   temp = 0;
87390   i    = 0;
87391   for (i = 0; temp < value; i = i + 1)
87392	temp = 1<<i;
87393   clogb2_v1 = i-1;
87394end
87395endfunction
87396
87397
87398
87399
87400
87401
87402
87403
87404
87405
87406
87407
87408
87409
87410
87411
87412
87413
87414
87415
87416
87417
87418
87419
87420
87421
87422
87423
87424
87425
87426
87427
87428
87429
87430
87431
87432
87433
87434
87435
87436
87437
87438
87439
87440
87441
87442
87443
87444
87445
87446
87447
87448
87449
87450
87451
87452
87453
87454
87455
87456
87457always @(*)
87458begin
87459
87460
87461
87462
87463
87464
87465
87466      if (branch_taken_m ==  1'b1)
87467	if ((branch_mispredict_taken_m ==  1'b1) && (exception_m ==  1'b0))
87468	  pc_a = pc_x;
87469	else
87470          pc_a = branch_target_m;
87471
87472
87473
87474
87475
87476      else
87477	if ( (valid_d ==  1'b1) && (branch_predict_taken_d ==  1'b1) )
87478	  pc_a = branch_predict_address_d;
87479	else
87480
87481
87482
87483
87484
87485
87486            pc_a = pc_f + 1'b1;
87487end
87488
87489
87490
87491
87492
87493
87494
87495
87496
87497
87498
87499
87500
87501
87502
87503
87504
87505
87506
87507
87508
87509
87510
87511
87512
87513
87514
87515
87516
87517
87518
87519
87520
87521
87522
87523
87524
87525assign instruction_f = wb_data_f;
87526
87527
87528
87529
87530
87531
87532
87533
87534
87535
87536
87537
87538assign i_dat_o = 32'd0;
87539assign i_we_o =  1'b0;
87540assign i_sel_o = 4'b1111;
87541
87542
87543assign i_bte_o =  2'b00;
87544
87545
87546
87547
87548
87549
87550
87551
87552
87553
87554
87555
87556
87557
87558
87559
87560
87561
87562
87563
87564
87565
87566
87567
87568
87569
87570
87571
87572
87573
87574
87575
87576
87577
87578
87579
87580
87581
87582always @(posedge clk_i  )
87583begin
87584    if (rst_i ==  1'b1)
87585    begin
87586        pc_f <= eba_reset_minus_4[ ((32-2)+2-1):2];
87587        pc_d <= { (32-2){1'b0}};
87588        pc_x <= { (32-2){1'b0}};
87589        pc_m <= { (32-2){1'b0}};
87590        pc_w <= { (32-2){1'b0}};
87591    end
87592    else
87593    begin
87594        if (stall_f ==  1'b0)
87595            pc_f <= pc_a;
87596        if (stall_d ==  1'b0)
87597            pc_d <= pc_f;
87598        if (stall_x ==  1'b0)
87599            pc_x <= pc_d;
87600        if (stall_m ==  1'b0)
87601            pc_m <= pc_x;
87602        pc_w <= pc_m;
87603    end
87604end
87605
87606
87607
87608
87609
87610
87611
87612
87613
87614
87615
87616
87617
87618
87619
87620
87621
87622
87623
87624
87625
87626
87627
87628
87629
87630
87631
87632
87633
87634
87635
87636
87637
87638
87639
87640
87641
87642
87643
87644
87645
87646
87647
87648
87649
87650
87651
87652
87653
87654
87655
87656
87657
87658
87659
87660
87661
87662
87663
87664
87665
87666
87667
87668
87669
87670
87671
87672
87673
87674
87675
87676
87677
87678
87679
87680
87681
87682
87683
87684
87685
87686
87687
87688
87689
87690
87691
87692
87693
87694
87695
87696
87697
87698
87699
87700
87701
87702
87703
87704
87705
87706
87707
87708
87709
87710
87711
87712
87713
87714
87715
87716
87717
87718
87719
87720
87721
87722
87723
87724
87725
87726
87727
87728
87729
87730
87731
87732
87733
87734
87735
87736
87737
87738
87739
87740
87741
87742
87743
87744
87745
87746
87747
87748
87749
87750
87751
87752
87753
87754
87755
87756
87757
87758
87759
87760
87761
87762
87763
87764
87765
87766
87767
87768
87769
87770
87771
87772
87773
87774
87775
87776
87777
87778
87779
87780
87781
87782
87783
87784
87785
87786
87787
87788
87789   always @(posedge clk_i  )
87790     begin
87791	if (rst_i ==  1'b1)
87792	  begin
87793             i_cyc_o <=  1'b0;
87794             i_stb_o <=  1'b0;
87795             i_adr_o <= { 32{1'b0}};
87796             i_cti_o <=  3'b111;
87797             i_lock_o <=  1'b0;
87798             wb_data_f <= { 32{1'b0}};
87799
87800
87801
87802
87803	  end
87804	else
87805	  begin
87806
87807             if (i_cyc_o ==  1'b1)
87808               begin
87809
87810		  if((i_ack_i ==  1'b1) || (i_err_i ==  1'b1))
87811		    begin
87812
87813                       i_cyc_o <=  1'b0;
87814                       i_stb_o <=  1'b0;
87815
87816                       wb_data_f <= i_dat_i;
87817		    end
87818
87819
87820
87821
87822
87823
87824
87825
87826
87827
87828
87829               end
87830             else
87831               begin
87832
87833		  if (   (stall_a ==  1'b0)
87834
87835
87836
87837
87838			 )
87839		    begin
87840
87841
87842
87843
87844
87845                       i_adr_o <= {pc_a, 2'b00};
87846                       i_cyc_o <=  1'b1;
87847                       i_stb_o <=  1'b1;
87848
87849
87850
87851
87852		    end
87853		  else
87854		    begin
87855	               if (   (stall_a ==  1'b0)
87856
87857
87858
87859
87860			      )
87861			 begin
87862
87863
87864
87865
87866			 end
87867		    end
87868               end
87869	  end
87870     end
87871
87872
87873
87874
87875
87876
87877   always @(posedge clk_i  )
87878     begin
87879	if (rst_i ==  1'b1)
87880	  begin
87881             instruction_d <= { 32{1'b0}};
87882
87883
87884
87885
87886	  end
87887	else
87888	  begin
87889             if (stall_d ==  1'b0)
87890               begin
87891		  instruction_d <= instruction_f;
87892
87893
87894
87895
87896               end
87897	  end
87898     end
87899
87900endmodule
87901
87902
87903
87904
87905
87906
87907
87908
87909
87910
87911
87912
87913
87914
87915
87916
87917
87918
87919
87920
87921
87922
87923
87924
87925
87926
87927
87928
87929
87930
87931
87932
87933
87934
87935
87936
87937
87938
87939
87940
87941
87942
87943
87944
87945
87946
87947
87948
87949
87950
87951
87952
87953
87954
87955
87956
87957
87958
87959
87960
87961
87962
87963
87964
87965
87966
87967
87968
87969
87970
87971
87972
87973
87974
87975
87976
87977
87978
87979
87980
87981
87982
87983
87984
87985
87986
87987
87988
87989
87990
87991
87992
87993
87994
87995
87996
87997
87998
87999
88000
88001
88002
88003
88004
88005
88006
88007
88008
88009
88010
88011
88012
88013
88014
88015
88016
88017
88018
88019
88020
88021
88022
88023
88024
88025
88026
88027
88028
88029
88030
88031
88032
88033
88034
88035
88036
88037
88038
88039
88040
88041
88042
88043
88044
88045
88046
88047
88048
88049
88050
88051
88052
88053
88054
88055
88056
88057
88058
88059
88060
88061
88062
88063
88064
88065
88066
88067
88068
88069
88070
88071
88072
88073
88074
88075
88076
88077
88078
88079
88080
88081
88082
88083
88084
88085
88086
88087
88088
88089
88090
88091
88092
88093
88094
88095
88096
88097
88098
88099
88100
88101
88102
88103
88104
88105
88106
88107
88108
88109
88110
88111
88112
88113
88114
88115
88116
88117
88118
88119
88120
88121
88122
88123
88124
88125
88126
88127
88128
88129
88130
88131
88132
88133
88134
88135
88136
88137
88138
88139
88140
88141
88142
88143
88144
88145
88146
88147
88148
88149
88150
88151
88152
88153
88154
88155
88156
88157
88158
88159
88160
88161
88162
88163
88164
88165
88166
88167
88168
88169
88170
88171
88172
88173
88174
88175
88176
88177
88178
88179
88180
88181
88182
88183
88184
88185
88186
88187
88188
88189
88190
88191
88192
88193
88194
88195
88196
88197
88198
88199
88200
88201
88202
88203
88204
88205
88206
88207
88208
88209
88210
88211
88212
88213
88214
88215
88216
88217
88218
88219
88220
88221
88222
88223
88224
88225
88226
88227
88228
88229
88230
88231
88232
88233
88234
88235
88236
88237
88238
88239
88240
88241
88242
88243
88244
88245
88246
88247
88248
88249
88250
88251
88252
88253
88254
88255
88256
88257
88258
88259
88260
88261
88262
88263
88264
88265
88266
88267
88268
88269
88270
88271
88272
88273
88274
88275
88276
88277
88278
88279
88280
88281
88282
88283
88284
88285
88286
88287
88288
88289
88290
88291
88292
88293
88294
88295
88296
88297
88298
88299
88300
88301
88302
88303
88304
88305
88306
88307
88308
88309
88310
88311
88312
88313
88314
88315
88316
88317
88318
88319
88320
88321
88322
88323
88324
88325
88326
88327
88328
88329
88330
88331
88332
88333
88334
88335
88336
88337
88338
88339
88340
88341
88342
88343
88344
88345
88346
88347
88348
88349
88350
88351
88352
88353
88354
88355
88356
88357
88358
88359
88360
88361
88362
88363
88364
88365
88366
88367
88368
88369
88370
88371
88372
88373
88374
88375
88376
88377
88378
88379
88380
88381
88382
88383
88384
88385
88386
88387
88388
88389
88390
88391
88392
88393
88394
88395
88396
88397
88398
88399
88400
88401
88402
88403
88404
88405
88406
88407
88408
88409
88410
88411
88412
88413
88414
88415
88416
88417
88418
88419
88420
88421
88422
88423
88424
88425
88426
88427
88428
88429
88430
88431
88432
88433
88434
88435
88436
88437
88438
88439
88440
88441
88442
88443
88444
88445
88446
88447
88448
88449
88450
88451
88452
88453
88454
88455
88456
88457
88458
88459
88460
88461
88462
88463
88464
88465
88466
88467
88468
88469
88470
88471
88472
88473
88474
88475
88476
88477
88478
88479
88480
88481
88482
88483
88484
88485
88486
88487
88488
88489
88490
88491
88492
88493
88494
88495
88496
88497
88498
88499
88500
88501
88502
88503
88504
88505
88506
88507
88508
88509
88510
88511
88512
88513
88514
88515
88516
88517
88518
88519
88520
88521
88522
88523
88524
88525
88526
88527
88528
88529
88530
88531
88532
88533
88534
88535
88536
88537
88538
88539
88540
88541
88542
88543
88544
88545
88546
88547
88548
88549
88550
88551
88552
88553
88554
88555
88556
88557
88558
88559
88560
88561
88562
88563
88564
88565
88566
88567
88568
88569
88570
88571
88572
88573
88574
88575
88576
88577
88578
88579
88580
88581
88582
88583
88584
88585
88586
88587
88588
88589
88590
88591
88592
88593
88594
88595
88596
88597
88598
88599
88600
88601
88602
88603
88604
88605
88606
88607
88608
88609
88610
88611
88612
88613
88614
88615
88616
88617
88618
88619
88620
88621
88622
88623
88624
88625
88626
88627
88628
88629
88630
88631
88632
88633
88634
88635
88636
88637
88638
88639
88640
88641
88642
88643
88644
88645
88646
88647
88648
88649
88650
88651
88652
88653
88654
88655
88656
88657
88658
88659
88660
88661
88662
88663
88664
88665
88666
88667
88668
88669
88670
88671
88672
88673
88674
88675
88676
88677
88678
88679
88680
88681
88682
88683
88684
88685
88686
88687
88688
88689
88690
88691
88692
88693
88694
88695
88696
88697
88698
88699
88700
88701
88702
88703
88704
88705
88706
88707
88708
88709
88710
88711
88712
88713
88714
88715
88716
88717
88718
88719
88720
88721
88722
88723
88724
88725
88726
88727
88728
88729
88730
88731
88732
88733
88734
88735
88736
88737
88738
88739
88740
88741
88742
88743
88744
88745
88746
88747
88748
88749
88750
88751
88752
88753
88754
88755
88756
88757
88758
88759
88760
88761
88762
88763
88764
88765
88766
88767
88768
88769
88770
88771
88772
88773
88774
88775
88776
88777
88778
88779
88780
88781
88782
88783
88784
88785
88786
88787
88788
88789
88790
88791
88792
88793
88794
88795
88796
88797
88798
88799
88800
88801
88802
88803
88804
88805
88806
88807
88808
88809
88810
88811
88812
88813
88814
88815
88816
88817
88818
88819
88820
88821
88822
88823
88824
88825
88826
88827
88828
88829
88830
88831
88832
88833
88834
88835
88836
88837
88838
88839
88840
88841
88842
88843
88844
88845
88846
88847
88848
88849
88850
88851
88852
88853
88854
88855
88856
88857
88858
88859
88860
88861
88862
88863
88864
88865
88866
88867
88868
88869
88870
88871
88872
88873
88874
88875
88876
88877
88878
88879
88880
88881
88882
88883
88884
88885
88886
88887
88888
88889
88890
88891
88892
88893
88894
88895
88896
88897
88898
88899
88900
88901
88902
88903
88904
88905
88906
88907
88908
88909
88910
88911
88912
88913
88914
88915
88916
88917
88918
88919
88920
88921
88922
88923
88924
88925
88926
88927
88928
88929
88930
88931
88932
88933
88934
88935
88936
88937
88938
88939
88940
88941
88942
88943
88944
88945
88946
88947
88948
88949
88950
88951
88952
88953
88954
88955
88956
88957
88958
88959
88960
88961
88962
88963
88964
88965
88966
88967
88968
88969
88970
88971
88972
88973
88974
88975
88976
88977
88978
88979
88980
88981
88982
88983
88984
88985
88986
88987
88988
88989
88990
88991
88992
88993
88994
88995
88996
88997
88998
88999
89000
89001
89002
89003
89004
89005
89006
89007
89008
89009
89010
89011
89012
89013
89014
89015
89016
89017
89018
89019
89020
89021
89022
89023
89024
89025
89026
89027
89028
89029
89030
89031
89032
89033
89034
89035
89036
89037
89038
89039
89040
89041
89042
89043
89044
89045
89046
89047
89048
89049
89050
89051
89052
89053
89054
89055
89056
89057module lm32_interrupt_minimal (
89058
89059    clk_i,
89060    rst_i,
89061
89062    interrupt,
89063
89064    stall_x,
89065
89066
89067
89068
89069
89070    exception,
89071
89072
89073    eret_q_x,
89074
89075
89076
89077
89078    csr,
89079    csr_write_data,
89080    csr_write_enable,
89081
89082    interrupt_exception,
89083
89084    csr_read_data
89085    );
89086
89087
89088
89089
89090
89091parameter interrupts =  32;
89092
89093
89094
89095
89096
89097input clk_i;
89098input rst_i;
89099
89100input [interrupts-1:0] interrupt;
89101
89102input stall_x;
89103
89104
89105
89106
89107
89108
89109input exception;
89110
89111
89112input eret_q_x;
89113
89114
89115
89116
89117
89118input [ (4 -1):0] csr;
89119input [ (32-1):0] csr_write_data;
89120input csr_write_enable;
89121
89122
89123
89124
89125
89126output interrupt_exception;
89127wire   interrupt_exception;
89128
89129output [ (32-1):0] csr_read_data;
89130reg    [ (32-1):0] csr_read_data;
89131
89132
89133
89134
89135
89136wire [interrupts-1:0] asserted;
89137
89138wire [interrupts-1:0] interrupt_n_exception;
89139
89140
89141
89142reg ie;
89143reg eie;
89144
89145
89146
89147
89148reg [interrupts-1:0] ip;
89149reg [interrupts-1:0] im;
89150
89151
89152
89153
89154
89155
89156assign interrupt_n_exception = ip & im;
89157
89158
89159assign interrupt_exception = (|interrupt_n_exception) & ie;
89160
89161
89162assign asserted = ip | interrupt;
89163
89164generate
89165    if (interrupts > 1)
89166    begin
89167
89168always @(*)
89169begin
89170    case (csr)
89171     4 'h0:  csr_read_data = {{ 32-3{1'b0}},
89172
89173
89174
89175
89176                                    1'b0,
89177
89178
89179                                    eie,
89180                                    ie
89181                                   };
89182     4 'h2:  csr_read_data = ip;
89183     4 'h1:  csr_read_data = im;
89184    default:       csr_read_data = { 32{1'bx}};
89185    endcase
89186end
89187    end
89188    else
89189    begin
89190
89191always @(*)
89192begin
89193    case (csr)
89194     4 'h0:  csr_read_data = {{ 32-3{1'b0}},
89195
89196
89197
89198
89199                                    1'b0,
89200
89201
89202                                    eie,
89203                                    ie
89204                                   };
89205     4 'h2:  csr_read_data = ip;
89206    default:       csr_read_data = { 32{1'bx}};
89207      endcase
89208end
89209    end
89210endgenerate
89211
89212
89213
89214
89215
89216
89217
89218   reg [ 10:0] eie_delay  = 0;
89219
89220
89221generate
89222
89223
89224    if (interrupts > 1)
89225    begin
89226
89227always @(posedge clk_i  )
89228  begin
89229    if (rst_i ==  1'b1)
89230    begin
89231        ie                   <=  1'b0;
89232        eie                  <=  1'b0;
89233
89234
89235
89236
89237        im                   <= {interrupts{1'b0}};
89238        ip                   <= {interrupts{1'b0}};
89239       eie_delay             <= 0;
89240
89241    end
89242    else
89243    begin
89244
89245        ip                   <= asserted;
89246
89247
89248
89249
89250
89251
89252
89253
89254
89255
89256
89257
89258
89259
89260
89261        if (exception ==  1'b1)
89262        begin
89263
89264            eie              <= ie;
89265            ie               <=  1'b0;
89266        end
89267
89268
89269        else if (stall_x ==  1'b0)
89270        begin
89271
89272           if(eie_delay[0])
89273             ie              <= eie;
89274
89275           eie_delay         <= {1'b0, eie_delay[ 10:1]};
89276
89277            if (eret_q_x ==  1'b1) begin
89278
89279               eie_delay[ 10] <=  1'b1;
89280               eie_delay[ 10-1:0] <= 0;
89281            end
89282
89283
89284
89285
89286
89287
89288
89289
89290
89291            else if (csr_write_enable ==  1'b1)
89292            begin
89293
89294                if (csr ==  4 'h0)
89295                begin
89296                    ie  <= csr_write_data[0];
89297                    eie <= csr_write_data[1];
89298
89299
89300
89301
89302                end
89303                if (csr ==  4 'h1)
89304                    im  <= csr_write_data[interrupts-1:0];
89305                if (csr ==  4 'h2)
89306                    ip  <= asserted & ~csr_write_data[interrupts-1:0];
89307            end
89308        end
89309    end
89310end
89311    end
89312else
89313    begin
89314
89315always @(posedge clk_i  )
89316  begin
89317    if (rst_i ==  1'b1)
89318    begin
89319        ie              <=  1'b0;
89320        eie             <=  1'b0;
89321
89322
89323
89324
89325        ip              <= {interrupts{1'b0}};
89326       eie_delay        <= 0;
89327    end
89328    else
89329    begin
89330
89331        ip              <= asserted;
89332
89333
89334
89335
89336
89337
89338
89339
89340
89341
89342
89343
89344
89345
89346
89347        if (exception ==  1'b1)
89348        begin
89349
89350            eie         <= ie;
89351            ie          <=  1'b0;
89352        end
89353
89354
89355        else if (stall_x ==  1'b0)
89356          begin
89357
89358             if(eie_delay[0])
89359               ie              <= eie;
89360
89361             eie_delay         <= {1'b0, eie_delay[ 10:1]};
89362
89363             if (eret_q_x ==  1'b1) begin
89364
89365                eie_delay[ 10] <=  1'b1;
89366                eie_delay[ 10-1:0] <= 0;
89367             end
89368
89369
89370
89371
89372
89373
89374
89375            else if (csr_write_enable ==  1'b1)
89376            begin
89377
89378                if (csr ==  4 'h0)
89379                begin
89380                    ie  <= csr_write_data[0];
89381                    eie <= csr_write_data[1];
89382
89383
89384
89385
89386                end
89387                if (csr ==  4 'h2)
89388                    ip  <= asserted & ~csr_write_data[interrupts-1:0];
89389            end
89390        end
89391    end
89392end
89393    end
89394endgenerate
89395
89396endmodule
89397
89398
89399
89400
89401
89402
89403
89404
89405
89406
89407
89408
89409
89410
89411
89412
89413
89414
89415
89416
89417
89418
89419
89420
89421
89422
89423
89424
89425
89426
89427
89428
89429
89430
89431
89432
89433
89434
89435
89436
89437
89438
89439
89440
89441
89442
89443
89444
89445
89446
89447
89448
89449
89450
89451
89452
89453
89454
89455
89456
89457
89458
89459
89460
89461
89462
89463
89464
89465
89466
89467
89468
89469
89470
89471
89472
89473
89474
89475
89476
89477
89478
89479
89480
89481
89482
89483
89484
89485
89486
89487
89488
89489
89490
89491
89492
89493
89494
89495
89496
89497
89498
89499
89500
89501
89502
89503
89504
89505
89506
89507
89508
89509
89510
89511
89512
89513
89514
89515
89516
89517
89518
89519
89520
89521
89522
89523
89524
89525
89526
89527
89528
89529
89530
89531
89532
89533
89534
89535
89536
89537
89538
89539
89540
89541
89542
89543
89544
89545
89546
89547
89548
89549
89550
89551
89552
89553
89554
89555
89556
89557
89558
89559
89560
89561
89562
89563
89564
89565
89566
89567
89568
89569
89570
89571
89572
89573
89574
89575
89576
89577
89578
89579
89580
89581
89582
89583
89584
89585
89586
89587
89588
89589
89590
89591
89592
89593
89594
89595
89596
89597
89598
89599
89600
89601
89602
89603
89604
89605
89606
89607
89608
89609
89610
89611
89612
89613
89614
89615
89616
89617
89618
89619
89620
89621
89622
89623
89624
89625
89626
89627
89628
89629
89630
89631
89632
89633
89634
89635
89636
89637
89638
89639
89640
89641
89642
89643
89644
89645
89646
89647
89648
89649
89650
89651
89652
89653
89654
89655
89656
89657
89658
89659
89660
89661
89662
89663
89664
89665
89666
89667
89668
89669
89670
89671
89672
89673
89674
89675
89676
89677
89678
89679
89680
89681
89682
89683
89684
89685
89686
89687
89688
89689
89690
89691
89692
89693
89694
89695
89696
89697
89698
89699
89700
89701
89702
89703
89704
89705
89706
89707
89708
89709
89710
89711
89712
89713
89714
89715
89716
89717
89718
89719
89720
89721
89722
89723
89724
89725
89726
89727
89728
89729
89730
89731
89732
89733
89734
89735
89736
89737
89738
89739
89740
89741
89742
89743
89744
89745
89746
89747
89748
89749
89750
89751
89752
89753
89754
89755
89756
89757
89758
89759
89760
89761
89762
89763
89764
89765
89766
89767
89768
89769
89770
89771
89772
89773
89774
89775
89776
89777
89778
89779
89780
89781
89782
89783
89784
89785
89786
89787
89788
89789
89790
89791
89792
89793
89794
89795
89796
89797
89798
89799
89800
89801
89802
89803
89804
89805
89806
89807
89808
89809
89810
89811
89812
89813
89814
89815
89816
89817
89818
89819
89820
89821
89822
89823
89824
89825
89826
89827
89828
89829
89830
89831
89832
89833
89834
89835
89836
89837
89838
89839
89840
89841
89842
89843
89844
89845
89846
89847
89848
89849
89850
89851
89852
89853
89854
89855
89856
89857
89858
89859
89860
89861
89862
89863
89864
89865
89866
89867
89868
89869
89870
89871
89872
89873
89874
89875
89876
89877
89878
89879
89880
89881
89882
89883
89884
89885
89886
89887
89888
89889
89890
89891
89892
89893
89894
89895
89896
89897
89898
89899
89900
89901
89902
89903
89904
89905
89906
89907
89908
89909
89910
89911
89912
89913
89914
89915
89916
89917
89918
89919
89920
89921
89922
89923
89924
89925
89926
89927
89928
89929
89930
89931
89932
89933
89934
89935
89936
89937
89938
89939
89940
89941
89942
89943
89944
89945
89946
89947
89948
89949
89950
89951
89952
89953
89954
89955
89956
89957
89958
89959
89960
89961
89962
89963
89964
89965
89966
89967
89968
89969
89970
89971
89972
89973
89974
89975
89976
89977
89978
89979
89980
89981
89982
89983
89984
89985
89986
89987
89988
89989
89990
89991
89992
89993
89994
89995module lm32_top_wr_node (
89996
89997    clk_i,
89998    rst_i,
89999
90000
90001    interrupt,
90002
90003
90004
90005
90006
90007
90008
90009
90010
90011
90012
90013
90014
90015
90016
90017
90018    D_DAT_I,
90019    D_ACK_I,
90020    D_ERR_I,
90021    D_RTY_I,
90022
90023
90024
90025
90026
90027
90028
90029
90030
90031
90032
90033
90034
90035
90036
90037
90038
90039
90040
90041
90042
90043
90044    D_DAT_O,
90045    D_ADR_O,
90046    D_CYC_O,
90047    D_SEL_O,
90048    D_STB_O,
90049    D_WE_O,
90050    D_CTI_O,
90051    D_LOCK_O,
90052    D_BTE_O
90053    );
90054
90055parameter eba_reset = 32'h00000000;
90056parameter sdb_address = 32'h00000000;
90057
90058
90059
90060
90061input clk_i;
90062input rst_i;
90063
90064
90065input [ (32-1):0] interrupt;
90066
90067
90068
90069
90070
90071
90072
90073
90074
90075
90076
90077
90078
90079
90080
90081
90082input [ (32-1):0] D_DAT_I;
90083input D_ACK_I;
90084input D_ERR_I;
90085input D_RTY_I;
90086
90087
90088
90089
90090
90091
90092
90093
90094
90095
90096
90097
90098
90099
90100
90101
90102
90103
90104
90105
90106
90107
90108
90109
90110
90111
90112
90113
90114
90115
90116
90117
90118
90119
90120
90121
90122
90123
90124
90125output [ (32-1):0] D_DAT_O;
90126wire   [ (32-1):0] D_DAT_O;
90127output [ (32-1):0] D_ADR_O;
90128wire   [ (32-1):0] D_ADR_O;
90129output D_CYC_O;
90130wire   D_CYC_O;
90131output [ (4-1):0] D_SEL_O;
90132wire   [ (4-1):0] D_SEL_O;
90133output D_STB_O;
90134wire   D_STB_O;
90135output D_WE_O;
90136wire   D_WE_O;
90137output [ (3-1):0] D_CTI_O;
90138wire   [ (3-1):0] D_CTI_O;
90139output D_LOCK_O;
90140wire   D_LOCK_O;
90141output [ (2-1):0] D_BTE_O;
90142wire   [ (2-1):0] D_BTE_O;
90143
90144
90145
90146
90147
90148
90149
90150
90151
90152
90153
90154
90155
90156
90157
90158
90159
90160
90161
90162
90163
90164
90165
90166
90167
90168
90169
90170
90171
90172
90173
90174
90175
90176
90177
90178
90179
90180
90181
90182
90183
90184
90185
90186
90187
90188
90189
90190
90191
90192
90193
90194
90195
90196
90197
90198
90199
90200
90201
90202
90203
90204
90205
90206
90207
90208function integer clogb2;
90209input [31:0] value;
90210begin
90211   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
90212        value = value >> 1;
90213end
90214endfunction
90215
90216function integer clogb2_v1;
90217input [31:0] value;
90218reg   [31:0] i;
90219reg   [31:0] temp;
90220begin
90221   temp = 0;
90222   i    = 0;
90223   for (i = 0; temp < value; i = i + 1)
90224	temp = 1<<i;
90225   clogb2_v1 = i-1;
90226end
90227endfunction
90228
90229
90230
90231
90232
90233
90234
90235
90236lm32_cpu_wr_node
90237	#(
90238		.eba_reset(eba_reset),
90239    .sdb_address(sdb_address)
90240	) cpu (
90241
90242    .clk_i                 (clk_i),
90243
90244
90245
90246
90247    .rst_i                 (rst_i),
90248
90249
90250
90251    .interrupt             (interrupt),
90252
90253
90254
90255
90256
90257
90258
90259
90260
90261
90262
90263
90264
90265
90266
90267
90268
90269
90270
90271
90272
90273
90274
90275
90276
90277    .D_DAT_I               (D_DAT_I),
90278    .D_ACK_I               (D_ACK_I),
90279    .D_ERR_I               (D_ERR_I),
90280    .D_RTY_I               (D_RTY_I),
90281
90282
90283
90284
90285
90286
90287
90288
90289
90290
90291
90292
90293
90294
90295
90296
90297
90298
90299
90300
90301
90302
90303
90304
90305
90306
90307
90308
90309
90310
90311
90312
90313
90314
90315
90316
90317
90318
90319    .D_DAT_O               (D_DAT_O),
90320    .D_ADR_O               (D_ADR_O),
90321    .D_CYC_O               (D_CYC_O),
90322    .D_SEL_O               (D_SEL_O),
90323    .D_STB_O               (D_STB_O),
90324    .D_WE_O                (D_WE_O),
90325    .D_CTI_O               (D_CTI_O),
90326    .D_LOCK_O              (D_LOCK_O),
90327    .D_BTE_O               (D_BTE_O)
90328    );
90329
90330
90331
90332
90333
90334
90335
90336
90337
90338
90339
90340
90341
90342
90343
90344
90345
90346endmodule
90347
90348
90349
90350
90351
90352
90353
90354
90355
90356
90357
90358
90359
90360
90361
90362
90363
90364
90365
90366
90367
90368
90369
90370
90371
90372
90373
90374
90375
90376
90377
90378
90379
90380
90381
90382
90383
90384
90385
90386
90387
90388
90389
90390
90391
90392
90393
90394
90395
90396
90397
90398
90399
90400
90401
90402
90403
90404
90405
90406
90407
90408
90409
90410
90411
90412
90413
90414
90415
90416
90417
90418
90419
90420
90421
90422
90423
90424
90425
90426
90427
90428
90429
90430
90431
90432
90433
90434
90435
90436
90437
90438
90439
90440
90441
90442
90443
90444
90445
90446
90447
90448
90449
90450
90451
90452
90453
90454
90455
90456
90457
90458
90459
90460
90461
90462
90463
90464
90465
90466
90467
90468
90469
90470
90471
90472
90473
90474
90475
90476
90477
90478
90479
90480
90481
90482
90483
90484
90485
90486
90487
90488
90489
90490
90491
90492
90493
90494
90495
90496
90497
90498
90499
90500
90501
90502
90503
90504
90505
90506
90507
90508
90509
90510
90511
90512
90513
90514
90515
90516
90517
90518
90519
90520
90521
90522
90523
90524
90525
90526
90527
90528
90529
90530
90531
90532
90533
90534
90535
90536
90537
90538
90539
90540
90541
90542
90543
90544
90545
90546
90547
90548
90549
90550
90551
90552
90553
90554
90555
90556
90557
90558
90559
90560
90561
90562
90563
90564
90565
90566
90567
90568
90569
90570
90571
90572
90573
90574
90575
90576
90577
90578
90579
90580
90581
90582
90583
90584
90585
90586
90587
90588
90589
90590
90591
90592
90593
90594
90595
90596
90597
90598
90599
90600
90601
90602
90603
90604
90605
90606
90607
90608
90609
90610
90611
90612
90613
90614
90615
90616
90617
90618
90619
90620
90621
90622
90623
90624
90625
90626
90627
90628
90629
90630
90631
90632
90633
90634
90635
90636
90637
90638
90639
90640
90641
90642
90643
90644
90645
90646
90647
90648
90649
90650
90651
90652
90653
90654
90655
90656
90657
90658
90659
90660
90661
90662
90663
90664
90665
90666
90667
90668
90669
90670
90671
90672
90673
90674
90675
90676
90677
90678
90679
90680
90681
90682
90683
90684
90685
90686
90687
90688
90689
90690
90691
90692
90693
90694
90695
90696
90697
90698
90699
90700
90701
90702
90703
90704
90705
90706
90707
90708
90709
90710
90711
90712
90713
90714
90715
90716
90717
90718
90719
90720
90721
90722module lm32_mc_arithmetic_wr_node (
90723
90724    clk_i,
90725    rst_i,
90726    stall_d,
90727    kill_x,
90728
90729
90730    divide_d,
90731    modulus_d,
90732
90733
90734
90735
90736
90737
90738
90739
90740
90741
90742
90743
90744    operand_0_d,
90745    operand_1_d,
90746
90747    result_x,
90748
90749
90750    divide_by_zero_x,
90751
90752
90753    stall_request_x
90754    );
90755
90756
90757
90758
90759
90760input clk_i;
90761input rst_i;
90762input stall_d;
90763input kill_x;
90764
90765
90766input divide_d;
90767input modulus_d;
90768
90769
90770
90771
90772
90773
90774
90775
90776
90777
90778
90779
90780input [ (32-1):0] operand_0_d;
90781input [ (32-1):0] operand_1_d;
90782
90783
90784
90785
90786
90787output [ (32-1):0] result_x;
90788reg    [ (32-1):0] result_x;
90789
90790
90791output divide_by_zero_x;
90792reg    divide_by_zero_x;
90793
90794
90795output stall_request_x;
90796wire   stall_request_x;
90797
90798
90799
90800
90801
90802reg [ (32-1):0] p;
90803reg [ (32-1):0] a;
90804reg [ (32-1):0] b;
90805
90806
90807wire [32:0] t;
90808
90809
90810
90811reg [ 2:0] state;
90812reg [5:0] cycles;
90813
90814
90815
90816
90817
90818
90819
90820
90821
90822
90823
90824
90825assign stall_request_x = state !=  3'b000;
90826
90827
90828
90829
90830assign t = {p[ 32-2:0], a[ 32-1]} - b;
90831
90832
90833
90834
90835
90836
90837
90838
90839
90840
90841
90842
90843
90844
90845always @(posedge clk_i  )
90846begin
90847    if (rst_i ==  1'b1)
90848    begin
90849        cycles <= {6{1'b0}};
90850        p <= { 32{1'b0}};
90851        a <= { 32{1'b0}};
90852        b <= { 32{1'b0}};
90853
90854
90855
90856
90857
90858
90859        divide_by_zero_x <=  1'b0;
90860
90861
90862        result_x <= { 32{1'b0}};
90863        state <=  3'b000;
90864    end
90865    else
90866    begin
90867
90868
90869        divide_by_zero_x <=  1'b0;
90870
90871
90872        case (state)
90873         3'b000:
90874        begin
90875            if (stall_d ==  1'b0)
90876            begin
90877                cycles <=  32;
90878                p <= 32'b0;
90879                a <= operand_0_d;
90880                b <= operand_1_d;
90881
90882
90883                if (divide_d ==  1'b1)
90884                    state <=  3'b011 ;
90885                if (modulus_d ==  1'b1)
90886                    state <=  3'b010   ;
90887
90888
90889
90890
90891
90892
90893
90894
90895
90896
90897
90898
90899
90900
90901
90902
90903
90904
90905
90906
90907
90908
90909
90910
90911
90912
90913            end
90914        end
90915
90916
90917         3'b011 :
90918        begin
90919            if (t[32] == 1'b0)
90920            begin
90921                p <= t[31:0];
90922                a <= {a[ 32-2:0], 1'b1};
90923            end
90924            else
90925            begin
90926                p <= {p[ 32-2:0], a[ 32-1]};
90927                a <= {a[ 32-2:0], 1'b0};
90928            end
90929            result_x <= a;
90930            if ((cycles ==  32'd0) || (kill_x ==  1'b1))
90931            begin
90932
90933                divide_by_zero_x <= b == { 32{1'b0}};
90934                state <=  3'b000;
90935            end
90936            cycles <= cycles - 1'b1;
90937        end
90938         3'b010   :
90939        begin
90940            if (t[32] == 1'b0)
90941            begin
90942                p <= t[31:0];
90943                a <= {a[ 32-2:0], 1'b1};
90944            end
90945            else
90946            begin
90947                p <= {p[ 32-2:0], a[ 32-1]};
90948                a <= {a[ 32-2:0], 1'b0};
90949            end
90950            result_x <= p;
90951            if ((cycles ==  32'd0) || (kill_x ==  1'b1))
90952            begin
90953
90954                divide_by_zero_x <= b == { 32{1'b0}};
90955                state <=  3'b000;
90956            end
90957            cycles <= cycles - 1'b1;
90958        end
90959
90960
90961
90962
90963
90964
90965
90966
90967
90968
90969
90970
90971
90972
90973
90974
90975
90976
90977
90978
90979
90980
90981
90982
90983
90984
90985
90986
90987
90988
90989
90990
90991
90992
90993
90994        endcase
90995    end
90996end
90997
90998endmodule
90999
91000
91001
91002
91003
91004
91005
91006
91007
91008
91009
91010
91011
91012
91013
91014
91015
91016
91017
91018
91019
91020
91021
91022
91023
91024
91025
91026
91027
91028
91029
91030
91031
91032
91033
91034
91035
91036
91037
91038
91039
91040
91041
91042
91043
91044
91045
91046
91047
91048
91049
91050
91051
91052
91053
91054
91055
91056
91057
91058
91059
91060
91061
91062
91063
91064
91065
91066
91067
91068
91069
91070
91071
91072
91073
91074
91075
91076
91077
91078
91079
91080
91081
91082
91083
91084
91085
91086
91087
91088
91089
91090
91091
91092
91093
91094
91095
91096
91097
91098
91099
91100
91101
91102
91103
91104
91105
91106
91107
91108
91109
91110
91111
91112
91113
91114
91115
91116
91117
91118
91119
91120
91121
91122
91123
91124
91125
91126
91127
91128
91129
91130
91131
91132
91133
91134
91135
91136
91137
91138
91139
91140
91141
91142
91143
91144
91145
91146
91147
91148
91149
91150
91151
91152
91153
91154
91155
91156
91157
91158
91159
91160
91161
91162
91163
91164
91165
91166
91167
91168
91169
91170
91171
91172
91173
91174
91175
91176
91177
91178
91179
91180
91181
91182
91183
91184
91185
91186
91187
91188
91189
91190
91191
91192
91193
91194
91195
91196
91197
91198
91199
91200
91201
91202
91203
91204
91205
91206
91207
91208
91209
91210
91211
91212
91213
91214
91215
91216
91217
91218
91219
91220
91221
91222
91223
91224
91225
91226
91227
91228
91229
91230
91231
91232
91233
91234
91235
91236
91237
91238
91239
91240
91241
91242
91243
91244
91245
91246
91247
91248
91249
91250
91251
91252
91253
91254
91255
91256
91257
91258
91259
91260
91261
91262
91263
91264
91265
91266
91267
91268
91269
91270
91271
91272
91273
91274
91275
91276
91277
91278
91279
91280
91281
91282
91283
91284
91285
91286
91287
91288
91289
91290
91291
91292
91293
91294
91295
91296
91297
91298
91299
91300
91301
91302
91303
91304
91305
91306
91307
91308
91309
91310
91311
91312
91313
91314
91315
91316
91317
91318
91319
91320
91321
91322
91323
91324
91325
91326
91327
91328
91329
91330
91331
91332
91333
91334
91335
91336
91337
91338
91339
91340
91341
91342
91343
91344
91345
91346
91347
91348
91349
91350
91351
91352
91353
91354
91355
91356
91357
91358
91359
91360
91361
91362
91363
91364
91365
91366
91367
91368
91369
91370
91371
91372
91373
91374
91375
91376
91377
91378
91379
91380
91381
91382
91383
91384
91385
91386
91387
91388
91389
91390
91391
91392
91393
91394
91395module lm32_cpu_wr_node (
91396
91397    clk_i,
91398
91399
91400
91401
91402    rst_i,
91403
91404
91405    enable_i,
91406
91407
91408
91409
91410   dbg_exception_o,
91411   dbg_csr_write_enable_i,
91412   dbg_csr_write_data_i,
91413   dbg_csr_addr_i,
91414   dbg_break_i,
91415   dbg_reset_i,
91416
91417
91418
91419
91420
91421
91422    interrupt,
91423
91424
91425
91426
91427
91428
91429
91430
91431
91432
91433
91434
91435
91436
91437
91438
91439
91440
91441
91442
91443
91444
91445
91446
91447
91448    D_DAT_I,
91449    D_ACK_I,
91450    D_ERR_I,
91451    D_RTY_I,
91452
91453
91454
91455
91456
91457
91458
91459
91460
91461
91462
91463
91464
91465
91466
91467
91468
91469
91470
91471
91472
91473
91474
91475
91476
91477
91478
91479
91480
91481
91482
91483
91484
91485
91486
91487
91488
91489
91490
91491    iram_i_adr_o,
91492    iram_i_dat_i,
91493    iram_i_en_o,
91494    iram_d_adr_o,
91495    iram_d_dat_o,
91496    iram_d_dat_i,
91497    iram_d_sel_o,
91498    iram_d_we_o,
91499    iram_d_en_o,
91500
91501
91502
91503
91504
91505    D_DAT_O,
91506    D_ADR_O,
91507    D_CYC_O,
91508    D_SEL_O,
91509    D_STB_O,
91510    D_WE_O,
91511    D_CTI_O,
91512    D_LOCK_O,
91513    D_BTE_O
91514
91515
91516    );
91517
91518
91519
91520
91521
91522parameter eba_reset =  32'h00000000;
91523
91524
91525parameter deba_reset =  32'h10000000;
91526
91527
91528parameter sdb_address =   32'h00000000;
91529
91530
91531
91532
91533
91534
91535
91536
91537
91538parameter icache_associativity = 1;
91539parameter icache_sets = 512;
91540parameter icache_bytes_per_line = 16;
91541parameter icache_base_address = 0;
91542parameter icache_limit = 0;
91543
91544
91545
91546
91547
91548
91549
91550
91551
91552
91553
91554parameter dcache_associativity = 1;
91555parameter dcache_sets = 512;
91556parameter dcache_bytes_per_line = 16;
91557parameter dcache_base_address = 0;
91558parameter dcache_limit = 0;
91559
91560
91561
91562
91563
91564parameter watchpoints =  32'h4;
91565
91566
91567
91568
91569
91570
91571
91572
91573parameter breakpoints = 0;
91574
91575
91576
91577
91578
91579parameter interrupts =  32;
91580
91581
91582
91583
91584
91585
91586
91587
91588
91589input clk_i;
91590
91591
91592
91593
91594input rst_i;
91595
91596
91597
91598input [ (32-1):0] interrupt;
91599
91600
91601
91602
91603
91604
91605
91606
91607
91608
91609
91610
91611
91612
91613
91614
91615
91616
91617
91618
91619
91620
91621
91622
91623
91624input [ (32-1):0] D_DAT_I;
91625input D_ACK_I;
91626input D_ERR_I;
91627input D_RTY_I;
91628
91629
91630
91631
91632
91633
91634
91635   input enable_i;
91636   wire  enable_i;
91637
91638
91639
91640
91641
91642
91643
91644
91645
91646
91647
91648
91649
91650
91651
91652
91653
91654
91655
91656
91657
91658
91659
91660
91661
91662
91663
91664
91665
91666
91667
91668
91669
91670
91671
91672
91673
91674
91675
91676
91677
91678
91679
91680
91681
91682
91683
91684
91685
91686
91687
91688
91689
91690
91691
91692
91693
91694
91695
91696
91697
91698
91699
91700
91701output [ (32-1):0] D_DAT_O;
91702wire   [ (32-1):0] D_DAT_O;
91703output [ (32-1):0] D_ADR_O;
91704wire   [ (32-1):0] D_ADR_O;
91705output D_CYC_O;
91706wire   D_CYC_O;
91707output [ (4-1):0] D_SEL_O;
91708wire   [ (4-1):0] D_SEL_O;
91709output D_STB_O;
91710wire   D_STB_O;
91711output D_WE_O;
91712wire   D_WE_O;
91713output [ (3-1):0] D_CTI_O;
91714wire   [ (3-1):0] D_CTI_O;
91715output D_LOCK_O;
91716wire   D_LOCK_O;
91717output [ (2-1):0] D_BTE_O;
91718wire   [ (2-1):0] D_BTE_O;
91719
91720
91721
91722   output [31:0] iram_i_adr_o, iram_d_adr_o;
91723   output [31:0] iram_d_dat_o;
91724   input [31:0]  iram_i_dat_i, iram_d_dat_i;
91725   output [3:0]  iram_d_sel_o;
91726   output        iram_d_en_o, iram_i_en_o, iram_d_we_o;
91727
91728
91729
91730
91731
91732
91733
91734
91735
91736
91737
91738
91739
91740reg valid_f;
91741reg valid_d;
91742reg valid_x;
91743reg valid_m;
91744reg valid_w;
91745
91746wire q_x;
91747wire [ (32-1):0] immediate_d;
91748wire load_d;
91749reg load_x;
91750reg load_m;
91751wire load_q_x;
91752wire store_q_x;
91753wire q_m;
91754wire load_q_m;
91755wire store_q_m;
91756wire store_d;
91757reg store_x;
91758reg store_m;
91759wire [ 1:0] size_d;
91760reg [ 1:0] size_x;
91761wire branch_d;
91762wire branch_predict_d;
91763wire branch_predict_taken_d;
91764wire [ ((32-2)+2-1):2] branch_predict_address_d;
91765wire [ ((32-2)+2-1):2] branch_target_d;
91766wire bi_unconditional;
91767wire bi_conditional;
91768reg branch_x;
91769reg branch_predict_x;
91770reg branch_predict_taken_x;
91771reg branch_m;
91772reg branch_predict_m;
91773reg branch_predict_taken_m;
91774wire branch_mispredict_taken_m;
91775wire branch_flushX_m;
91776wire branch_reg_d;
91777wire [ ((32-2)+2-1):2] branch_offset_d;
91778reg [ ((32-2)+2-1):2] branch_target_x;
91779reg [ ((32-2)+2-1):2] branch_target_m;
91780wire [ 0:0] d_result_sel_0_d;
91781wire [ 1:0] d_result_sel_1_d;
91782
91783wire x_result_sel_csr_d;
91784reg x_result_sel_csr_x;
91785
91786
91787wire q_d;
91788wire x_result_sel_mc_arith_d;
91789reg x_result_sel_mc_arith_x;
91790
91791
91792
91793
91794
91795
91796
91797
91798
91799wire x_result_sel_sext_d;
91800reg x_result_sel_sext_x;
91801
91802
91803wire x_result_sel_logic_d;
91804
91805
91806
91807
91808
91809wire x_result_sel_add_d;
91810reg x_result_sel_add_x;
91811wire m_result_sel_compare_d;
91812reg m_result_sel_compare_x;
91813reg m_result_sel_compare_m;
91814
91815
91816wire m_result_sel_shift_d;
91817reg m_result_sel_shift_x;
91818reg m_result_sel_shift_m;
91819
91820
91821wire w_result_sel_load_d;
91822reg w_result_sel_load_x;
91823reg w_result_sel_load_m;
91824reg w_result_sel_load_w;
91825
91826
91827wire w_result_sel_mul_d;
91828reg w_result_sel_mul_x;
91829reg w_result_sel_mul_m;
91830reg w_result_sel_mul_w;
91831
91832
91833wire x_bypass_enable_d;
91834reg x_bypass_enable_x;
91835wire m_bypass_enable_d;
91836reg m_bypass_enable_x;
91837reg m_bypass_enable_m;
91838wire sign_extend_d;
91839reg sign_extend_x;
91840wire write_enable_d;
91841reg write_enable_x;
91842wire write_enable_q_x;
91843reg write_enable_m;
91844wire write_enable_q_m;
91845reg write_enable_w;
91846wire write_enable_q_w;
91847wire read_enable_0_d;
91848wire [ (5-1):0] read_idx_0_d;
91849wire read_enable_1_d;
91850wire [ (5-1):0] read_idx_1_d;
91851wire [ (5-1):0] write_idx_d;
91852reg [ (5-1):0] write_idx_x;
91853reg [ (5-1):0] write_idx_m;
91854reg [ (5-1):0] write_idx_w;
91855wire [ (5-1):0] csr_d;
91856reg  [ (5-1):0] csr_x;
91857wire [ (3-1):0] condition_d;
91858reg [ (3-1):0] condition_x;
91859
91860
91861wire break_d;
91862reg break_x;
91863
91864
91865wire scall_d;
91866reg scall_x;
91867wire eret_d;
91868reg eret_x;
91869wire eret_q_x;
91870
91871
91872
91873
91874
91875
91876
91877wire bret_d;
91878reg bret_x;
91879wire bret_q_x;
91880
91881
91882
91883
91884
91885
91886
91887wire csr_write_enable_d;
91888reg csr_write_enable_x;
91889wire csr_write_enable_q_x;
91890
91891
91892
91893
91894
91895
91896
91897wire bus_error_d;
91898reg bus_error_x;
91899reg data_bus_error_exception_m;
91900reg [ ((32-2)+2-1):2] memop_pc_w;
91901
91902
91903
91904reg [ (32-1):0] d_result_0;
91905reg [ (32-1):0] d_result_1;
91906reg [ (32-1):0] x_result;
91907reg [ (32-1):0] m_result;
91908reg [ (32-1):0] w_result;
91909
91910reg [ (32-1):0] operand_0_x;
91911reg [ (32-1):0] operand_1_x;
91912reg [ (32-1):0] store_operand_x;
91913reg [ (32-1):0] operand_m;
91914reg [ (32-1):0] operand_w;
91915
91916
91917
91918
91919reg [ (32-1):0] reg_data_live_0;
91920reg [ (32-1):0] reg_data_live_1;
91921reg use_buf;
91922reg [ (32-1):0] reg_data_buf_0;
91923reg [ (32-1):0] reg_data_buf_1;
91924
91925
91926
91927
91928
91929
91930
91931
91932wire [ (32-1):0] reg_data_0;
91933wire [ (32-1):0] reg_data_1;
91934reg [ (32-1):0] bypass_data_0;
91935reg [ (32-1):0] bypass_data_1;
91936wire reg_write_enable_q_w;
91937
91938reg interlock;
91939
91940wire stall_a;
91941wire stall_f;
91942wire stall_d;
91943wire stall_x;
91944wire stall_m;
91945
91946
91947wire adder_op_d;
91948reg adder_op_x;
91949reg adder_op_x_n;
91950wire [ (32-1):0] adder_result_x;
91951wire adder_overflow_x;
91952wire adder_carry_n_x;
91953
91954
91955wire [ 3:0] logic_op_d;
91956reg [ 3:0] logic_op_x;
91957wire [ (32-1):0] logic_result_x;
91958
91959
91960
91961
91962wire [ (32-1):0] sextb_result_x;
91963wire [ (32-1):0] sexth_result_x;
91964wire [ (32-1):0] sext_result_x;
91965
91966
91967
91968
91969
91970
91971
91972
91973
91974
91975
91976wire direction_d;
91977reg direction_x;
91978wire [ (32-1):0] shifter_result_m;
91979
91980
91981
91982
91983
91984
91985
91986
91987
91988
91989
91990
91991
91992
91993
91994
91995
91996wire [ (32-1):0] multiplier_result_w;
91997
91998
91999
92000
92001
92002
92003
92004
92005
92006
92007
92008wire divide_d;
92009wire divide_q_d;
92010wire modulus_d;
92011wire modulus_q_d;
92012wire divide_by_zero_x;
92013
92014
92015
92016
92017
92018
92019wire mc_stall_request_x;
92020wire [ (32-1):0] mc_result_x;
92021
92022
92023
92024
92025
92026
92027wire [ (32-1):0] interrupt_csr_read_data_x;
92028
92029
92030wire [ (32-1):0] cfg;
92031wire [ (32-1):0] cfg2;
92032
92033
92034
92035
92036reg [ (32-1):0] csr_read_data_x;
92037
92038
92039wire [ ((32-2)+2-1):2] pc_f;
92040wire [ ((32-2)+2-1):2] pc_d;
92041wire [ ((32-2)+2-1):2] pc_x;
92042wire [ ((32-2)+2-1):2] pc_m;
92043wire [ ((32-2)+2-1):2] pc_w;
92044
92045
92046
92047
92048
92049
92050wire [ (32-1):0] instruction_f;
92051
92052
92053
92054
92055wire [ (32-1):0] instruction_d;
92056
92057
92058
92059
92060
92061
92062
92063
92064
92065
92066
92067
92068
92069
92070
92071
92072
92073
92074
92075wire [ (32-1):0] load_data_w;
92076wire stall_wb_load;
92077
92078
92079
92080
92081
92082
92083
92084
92085
92086
92087
92088
92089
92090
92091
92092
92093
92094
92095
92096
92097
92098
92099
92100
92101
92102wire raw_x_0;
92103wire raw_x_1;
92104wire raw_m_0;
92105wire raw_m_1;
92106wire raw_w_0;
92107wire raw_w_1;
92108
92109
92110wire cmp_zero;
92111wire cmp_negative;
92112wire cmp_overflow;
92113wire cmp_carry_n;
92114reg condition_met_x;
92115reg condition_met_m;
92116
92117
92118
92119
92120wire branch_taken_m;
92121
92122wire kill_f;
92123wire kill_d;
92124wire kill_x;
92125wire kill_m;
92126wire kill_w;
92127
92128reg [ (32-2)+2-1:8] eba;
92129
92130
92131reg [ (32-2)+2-1:8] deba;
92132
92133
92134reg [ (3-1):0] eid_x;
92135
92136
92137
92138
92139
92140
92141
92142
92143
92144
92145wire dc_ss;
92146
92147
92148wire dc_re;
92149wire bp_match;
92150wire wp_match;
92151wire exception_x;
92152reg exception_m;
92153wire debug_exception_x;
92154reg debug_exception_m;
92155reg debug_exception_w;
92156wire debug_exception_q_w;
92157wire non_debug_exception_x;
92158reg non_debug_exception_m;
92159reg non_debug_exception_w;
92160wire non_debug_exception_q_w;
92161
92162
92163
92164
92165
92166
92167
92168
92169
92170
92171
92172
92173
92174
92175
92176
92177wire reset_exception;
92178
92179
92180
92181
92182
92183
92184wire interrupt_exception;
92185
92186
92187
92188
92189wire breakpoint_exception;
92190wire watchpoint_exception;
92191
92192
92193
92194
92195   reg [ (32-1):0] data_bus_error_addr;
92196
92197wire instruction_bus_error_exception;
92198wire data_bus_error_exception;
92199
92200
92201
92202
92203wire divide_by_zero_exception;
92204
92205
92206wire system_call_exception;
92207
92208
92209
92210reg data_bus_error_seen;
92211
92212
92213
92214
92215
92216   wire iram_stall_request_x;
92217
92218
92219
92220
92221
92222input dbg_csr_write_enable_i;
92223wire   dbg_csr_write_enable_i;
92224input [ (32-1):0] dbg_csr_write_data_i;
92225wire  [ (32-1):0] dbg_csr_write_data_i;
92226input [ (5-1):0] dbg_csr_addr_i;
92227wire  [ (5-1):0] dbg_csr_addr_i;
92228
92229   output 	      dbg_exception_o;
92230   wire 	      dbg_exception_o;
92231   input 	      dbg_reset_i;
92232   wire 	      dbg_reset_i;
92233   input 	      dbg_break_i;
92234   wire 	      dbg_break_i;
92235
92236
92237
92238
92239
92240
92241
92242
92243
92244
92245
92246
92247
92248
92249
92250
92251
92252
92253
92254
92255
92256
92257
92258
92259
92260
92261
92262
92263
92264
92265
92266
92267
92268
92269
92270
92271
92272
92273
92274function integer clogb2;
92275input [31:0] value;
92276begin
92277   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
92278        value = value >> 1;
92279end
92280endfunction
92281
92282function integer clogb2_v1;
92283input [31:0] value;
92284reg   [31:0] i;
92285reg   [31:0] temp;
92286begin
92287   temp = 0;
92288   i    = 0;
92289   for (i = 0; temp < value; i = i + 1)
92290	temp = 1<<i;
92291   clogb2_v1 = i-1;
92292end
92293endfunction
92294
92295
92296
92297
92298
92299
92300
92301
92302
92303lm32_instruction_unit_wr_node #(
92304    .eba_reset              (eba_reset),
92305    .associativity          (icache_associativity),
92306    .sets                   (icache_sets),
92307    .bytes_per_line         (icache_bytes_per_line),
92308    .base_address           (icache_base_address),
92309    .limit                  (icache_limit)
92310  ) instruction_unit (
92311
92312    .clk_i                  (clk_i),
92313    .rst_i                  (rst_i),
92314
92315    .stall_a                (stall_a),
92316    .stall_f                (stall_f),
92317    .stall_d                (stall_d),
92318    .stall_x                (stall_x),
92319    .stall_m                (stall_m),
92320    .valid_f                (valid_f),
92321    .valid_d                (valid_d),
92322    .kill_f                 (kill_f),
92323    .branch_predict_taken_d (branch_predict_taken_d),
92324    .branch_predict_address_d (branch_predict_address_d),
92325
92326
92327
92328
92329
92330    .exception_m            (exception_m),
92331    .branch_taken_m         (branch_taken_m),
92332    .branch_mispredict_taken_m (branch_mispredict_taken_m),
92333    .branch_target_m        (branch_target_m),
92334
92335
92336
92337
92338
92339
92340
92341
92342
92343
92344
92345
92346
92347
92348
92349
92350
92351
92352
92353
92354    .jtag_read_enable       (jtag_read_enable),
92355    .jtag_write_enable      (jtag_write_enable),
92356    .jtag_write_data        (jtag_write_data),
92357    .jtag_address           (jtag_address),
92358
92359
92360
92361
92362    .pc_f                   (pc_f),
92363    .pc_d                   (pc_d),
92364    .pc_x                   (pc_x),
92365    .pc_m                   (pc_m),
92366    .pc_w                   (pc_w),
92367
92368
92369
92370
92371
92372
92373
92374
92375
92376
92377
92378
92379
92380
92381
92382
92383
92384
92385
92386
92387
92388
92389
92390    .iram_i_adr_o(iram_i_adr_o),
92391    .iram_i_dat_i(iram_i_dat_i),
92392    .iram_i_en_o(iram_i_en_o),
92393
92394
92395
92396
92397
92398    .jtag_read_data         (jtag_read_data),
92399    .jtag_access_complete   (jtag_access_complete),
92400
92401
92402
92403
92404    .bus_error_d            (bus_error_d),
92405
92406
92407
92408
92409    .instruction_f          (instruction_f),
92410
92411
92412
92413
92414    .instruction_d          (instruction_d)
92415
92416
92417
92418    );
92419
92420
92421lm32_decoder_wr_node decoder (
92422
92423    .instruction            (instruction_d),
92424
92425    .d_result_sel_0         (d_result_sel_0_d),
92426    .d_result_sel_1         (d_result_sel_1_d),
92427    .x_result_sel_csr       (x_result_sel_csr_d),
92428
92429
92430    .x_result_sel_mc_arith  (x_result_sel_mc_arith_d),
92431
92432
92433
92434
92435
92436
92437
92438
92439    .x_result_sel_sext      (x_result_sel_sext_d),
92440
92441
92442    .x_result_sel_logic     (x_result_sel_logic_d),
92443
92444
92445
92446
92447    .x_result_sel_add       (x_result_sel_add_d),
92448    .m_result_sel_compare   (m_result_sel_compare_d),
92449
92450
92451    .m_result_sel_shift     (m_result_sel_shift_d),
92452
92453
92454    .w_result_sel_load      (w_result_sel_load_d),
92455
92456
92457    .w_result_sel_mul       (w_result_sel_mul_d),
92458
92459
92460    .x_bypass_enable        (x_bypass_enable_d),
92461    .m_bypass_enable        (m_bypass_enable_d),
92462    .read_enable_0          (read_enable_0_d),
92463    .read_idx_0             (read_idx_0_d),
92464    .read_enable_1          (read_enable_1_d),
92465    .read_idx_1             (read_idx_1_d),
92466    .write_enable           (write_enable_d),
92467    .write_idx              (write_idx_d),
92468    .immediate              (immediate_d),
92469    .branch_offset          (branch_offset_d),
92470    .load                   (load_d),
92471    .store                  (store_d),
92472    .size                   (size_d),
92473    .sign_extend            (sign_extend_d),
92474    .adder_op               (adder_op_d),
92475    .logic_op               (logic_op_d),
92476
92477
92478    .direction              (direction_d),
92479
92480
92481
92482
92483
92484
92485
92486
92487
92488
92489
92490
92491
92492    .divide                 (divide_d),
92493    .modulus                (modulus_d),
92494
92495
92496    .branch                 (branch_d),
92497    .bi_unconditional       (bi_unconditional),
92498    .bi_conditional         (bi_conditional),
92499    .branch_reg             (branch_reg_d),
92500    .condition              (condition_d),
92501
92502
92503    .break_opcode           (break_d),
92504
92505
92506    .scall                  (scall_d),
92507    .eret                   (eret_d),
92508
92509
92510    .bret                   (bret_d),
92511
92512
92513
92514
92515
92516
92517    .csr_write_enable       (csr_write_enable_d)
92518    );
92519
92520
92521lm32_load_store_unit_wr_node #(
92522    .associativity          (dcache_associativity),
92523    .sets                   (dcache_sets),
92524    .bytes_per_line         (dcache_bytes_per_line),
92525    .base_address           (dcache_base_address),
92526    .limit                  (dcache_limit)
92527  ) load_store_unit (
92528
92529    .clk_i                  (clk_i),
92530    .rst_i                  (rst_i),
92531
92532    .stall_a                (stall_a),
92533    .stall_x                (stall_x),
92534    .stall_m                (stall_m),
92535    .kill_x                 (kill_x),
92536    .kill_m                 (kill_m),
92537    .exception_m            (exception_m),
92538    .store_operand_x        (store_operand_x),
92539    .load_store_address_x   (adder_result_x),
92540    .load_store_address_m   (operand_m),
92541    .load_store_address_w   (operand_w[1:0]),
92542    .load_x                 (load_x),
92543    .store_x                (store_x),
92544    .load_q_x               (load_q_x),
92545    .store_q_x              (store_q_x),
92546    .load_q_m               (load_q_m),
92547    .store_q_m              (store_q_m),
92548    .sign_extend_x          (sign_extend_x),
92549    .size_x                 (size_x),
92550
92551
92552
92553
92554
92555
92556
92557    .iram_d_adr_o(iram_d_adr_o),
92558    .iram_d_dat_o(iram_d_dat_o),
92559    .iram_d_dat_i(iram_d_dat_i),
92560    .iram_d_sel_o(iram_d_sel_o),
92561    .iram_d_we_o(iram_d_we_o),
92562    .iram_d_en_o(iram_d_en_o),
92563    .iram_stall_request_x(iram_stall_request_x),
92564
92565
92566
92567
92568    .d_dat_i                (D_DAT_I),
92569    .d_ack_i                (D_ACK_I),
92570    .d_err_i                (D_ERR_I),
92571    .d_rty_i                (D_RTY_I),
92572
92573
92574
92575
92576
92577
92578
92579
92580
92581    .load_data_w            (load_data_w),
92582    .stall_wb_load          (stall_wb_load),
92583
92584    .d_dat_o                (D_DAT_O),
92585    .d_adr_o                (D_ADR_O),
92586    .d_cyc_o                (D_CYC_O),
92587    .d_sel_o                (D_SEL_O),
92588    .d_stb_o                (D_STB_O),
92589    .d_we_o                 (D_WE_O),
92590    .d_cti_o                (D_CTI_O),
92591    .d_lock_o               (D_LOCK_O),
92592    .d_bte_o                (D_BTE_O)
92593    );
92594
92595
92596lm32_adder adder (
92597
92598    .adder_op_x             (adder_op_x),
92599    .adder_op_x_n           (adder_op_x_n),
92600    .operand_0_x            (operand_0_x),
92601    .operand_1_x            (operand_1_x),
92602
92603    .adder_result_x         (adder_result_x),
92604    .adder_carry_n_x        (adder_carry_n_x),
92605    .adder_overflow_x       (adder_overflow_x)
92606    );
92607
92608
92609lm32_logic_op logic_op (
92610
92611    .logic_op_x             (logic_op_x),
92612    .operand_0_x            (operand_0_x),
92613
92614    .operand_1_x            (operand_1_x),
92615
92616    .logic_result_x         (logic_result_x)
92617    );
92618
92619
92620
92621
92622lm32_shifter shifter (
92623
92624    .clk_i                  (clk_i),
92625    .rst_i                  (rst_i),
92626    .stall_x                (stall_x),
92627    .direction_x            (direction_x),
92628    .sign_extend_x          (sign_extend_x),
92629    .operand_0_x            (operand_0_x),
92630    .operand_1_x            (operand_1_x),
92631
92632    .shifter_result_m       (shifter_result_m)
92633    );
92634
92635
92636
92637
92638
92639
92640lm32_multiplier multiplier (
92641
92642    .clk_i                  (clk_i),
92643    .rst_i                  (rst_i),
92644    .stall_x                (stall_x),
92645    .stall_m                (stall_m),
92646    .operand_0              (d_result_0),
92647    .operand_1              (d_result_1),
92648
92649    .result                 (multiplier_result_w)
92650    );
92651
92652
92653
92654
92655
92656
92657lm32_mc_arithmetic_wr_node mc_arithmetic (
92658
92659    .clk_i                  (clk_i),
92660    .rst_i                  (rst_i),
92661    .stall_d                (stall_d),
92662    .kill_x                 (kill_x),
92663
92664
92665    .divide_d               (divide_q_d),
92666    .modulus_d              (modulus_q_d),
92667
92668
92669
92670
92671
92672
92673
92674
92675
92676
92677
92678
92679    .operand_0_d            (d_result_0),
92680    .operand_1_d            (d_result_1),
92681
92682    .result_x               (mc_result_x),
92683
92684
92685    .divide_by_zero_x       (divide_by_zero_x),
92686
92687
92688    .stall_request_x        (mc_stall_request_x)
92689    );
92690
92691
92692
92693
92694
92695
92696lm32_interrupt_wr_node interrupt_unit (
92697
92698    .clk_i                  (clk_i),
92699    .rst_i                  (rst_i),
92700
92701    .interrupt              (interrupt),
92702
92703    .stall_x                (stall_x),
92704
92705
92706    .non_debug_exception    (non_debug_exception_q_w),
92707    .debug_exception        (debug_exception_q_w),
92708
92709
92710
92711
92712    .eret_q_x               (eret_q_x),
92713
92714
92715    .bret_q_x               (bret_q_x),
92716
92717
92718    .csr                    (csr_x),
92719    .csr_write_data         (operand_1_x),
92720    .csr_write_enable       (csr_write_enable_q_x),
92721
92722    .interrupt_exception    (interrupt_exception),
92723
92724    .csr_read_data          (interrupt_csr_read_data_x)
92725    );
92726
92727
92728
92729
92730
92731   assign jtag_break = dbg_break_i;
92732   assign reset_exception = dbg_reset_i;
92733   assign dbg_exception_o = debug_exception_q_w || non_debug_exception_q_w;
92734
92735
92736
92737
92738
92739
92740
92741
92742
92743
92744
92745
92746
92747
92748
92749
92750
92751
92752
92753
92754
92755
92756
92757
92758
92759
92760
92761
92762
92763
92764
92765
92766
92767
92768
92769
92770
92771
92772
92773
92774
92775
92776
92777
92778
92779
92780
92781
92782
92783
92784
92785
92786
92787
92788
92789
92790
92791
92792
92793lm32_debug_wr_node #(
92794    .breakpoints            (breakpoints),
92795    .watchpoints            (watchpoints)
92796  ) hw_debug (
92797
92798    .clk_i                  (clk_i),
92799    .rst_i                  (rst_i),
92800    .pc_x                   (pc_x),
92801    .load_x                 (load_x),
92802    .store_x                (store_x),
92803    .load_store_address_x   (adder_result_x),
92804    .csr_write_enable_x     (csr_write_enable_q_x),
92805    .csr_write_data         (operand_1_x),
92806    .csr_x                  (csr_x),
92807
92808
92809
92810
92811
92812
92813
92814
92815
92816
92817    .dbg_csr_write_enable_i  (dbg_csr_write_enable_i),
92818    .dbg_csr_write_data_i    (dbg_csr_write_data_i),
92819    .dbg_csr_addr_i               (dbg_csr_addr_i),
92820
92821
92822
92823
92824
92825
92826    .eret_q_x               (eret_q_x),
92827    .bret_q_x               (bret_q_x),
92828    .stall_x                (stall_x),
92829    .exception_x            (exception_x),
92830    .q_x                    (q_x),
92831
92832
92833
92834
92835
92836
92837
92838
92839
92840    .dc_ss                  (dc_ss),
92841
92842
92843    .dc_re                  (dc_re),
92844    .bp_match               (bp_match),
92845    .wp_match               (wp_match)
92846    );
92847
92848
92849
92850
92851
92852
92853
92854
92855
92856
92857
92858
92859
92860
92861
92862
92863
92864
92865   wire [31:0] regfile_data_0, regfile_data_1;
92866   reg [31:0]  w_result_d;
92867   reg 	       regfile_raw_0, regfile_raw_0_nxt;
92868   reg 	       regfile_raw_1, regfile_raw_1_nxt;
92869
92870
92871
92872
92873
92874   always @(reg_write_enable_q_w or write_idx_w or instruction_f)
92875     begin
92876	if (reg_write_enable_q_w
92877	    && (write_idx_w == instruction_f[25:21]))
92878	  regfile_raw_0_nxt = 1'b1;
92879	else
92880	  regfile_raw_0_nxt = 1'b0;
92881
92882	if (reg_write_enable_q_w
92883	    && (write_idx_w == instruction_f[20:16]))
92884	  regfile_raw_1_nxt = 1'b1;
92885	else
92886	  regfile_raw_1_nxt = 1'b0;
92887     end
92888
92889
92890
92891
92892
92893
92894   always @(regfile_raw_0 or w_result_d or regfile_data_0)
92895     if (regfile_raw_0)
92896       reg_data_live_0 = w_result_d;
92897     else
92898       reg_data_live_0 = regfile_data_0;
92899
92900
92901
92902
92903
92904
92905   always @(regfile_raw_1 or w_result_d or regfile_data_1)
92906     if (regfile_raw_1)
92907       reg_data_live_1 = w_result_d;
92908     else
92909       reg_data_live_1 = regfile_data_1;
92910
92911
92912
92913
92914   always @(posedge clk_i  )
92915     if (rst_i ==  1'b1)
92916       begin
92917	  regfile_raw_0 <= 1'b0;
92918	  regfile_raw_1 <= 1'b0;
92919	  w_result_d <= 32'b0;
92920       end
92921     else
92922       begin
92923	  regfile_raw_0 <= regfile_raw_0_nxt;
92924	  regfile_raw_1 <= regfile_raw_1_nxt;
92925	  w_result_d <= w_result;
92926       end
92927
92928
92929
92930
92931
92932   lm32_dp_ram
92933     #(
92934
92935       .addr_depth(1<<5),
92936       .addr_width(5),
92937       .data_width(32)
92938       )
92939   reg_0
92940     (
92941
92942      .clk_i	(clk_i),
92943      .rst_i	(rst_i),
92944      .we_i	(reg_write_enable_q_w),
92945      .wdata_i	(w_result),
92946      .waddr_i	(write_idx_w),
92947      .raddr_i	(instruction_f[25:21]),
92948
92949      .rdata_o	(regfile_data_0)
92950      );
92951
92952   lm32_dp_ram
92953     #(
92954       .addr_depth(1<<5),
92955       .addr_width(5),
92956       .data_width(32)
92957       )
92958   reg_1
92959     (
92960
92961      .clk_i	(clk_i),
92962      .rst_i	(rst_i),
92963      .we_i	(reg_write_enable_q_w),
92964      .wdata_i	(w_result),
92965      .waddr_i	(write_idx_w),
92966      .raddr_i	(instruction_f[20:16]),
92967
92968      .rdata_o	(regfile_data_1)
92969      );
92970
92971
92972
92973
92974
92975
92976
92977
92978
92979
92980
92981
92982
92983
92984
92985
92986
92987
92988
92989
92990
92991
92992
92993
92994
92995
92996
92997
92998
92999
93000
93001
93002
93003
93004
93005
93006
93007
93008
93009
93010
93011
93012
93013
93014
93015
93016
93017
93018
93019
93020
93021
93022
93023
93024
93025
93026
93027
93028
93029
93030
93031
93032
93033
93034
93035
93036
93037
93038
93039
93040
93041
93042
93043
93044
93045
93046
93047
93048
93049
93050assign reg_data_0 = use_buf ? reg_data_buf_0 : reg_data_live_0;
93051assign reg_data_1 = use_buf ? reg_data_buf_1 : reg_data_live_1;
93052
93053
93054
93055
93056
93057
93058
93059
93060
93061
93062
93063
93064assign raw_x_0 = (write_idx_x == read_idx_0_d) && (write_enable_q_x ==  1'b1);
93065assign raw_m_0 = (write_idx_m == read_idx_0_d) && (write_enable_q_m ==  1'b1);
93066assign raw_w_0 = (write_idx_w == read_idx_0_d) && (write_enable_q_w ==  1'b1);
93067assign raw_x_1 = (write_idx_x == read_idx_1_d) && (write_enable_q_x ==  1'b1);
93068assign raw_m_1 = (write_idx_m == read_idx_1_d) && (write_enable_q_m ==  1'b1);
93069assign raw_w_1 = (write_idx_w == read_idx_1_d) && (write_enable_q_w ==  1'b1);
93070
93071
93072always @(*)
93073begin
93074    if (   (   (x_bypass_enable_x ==  1'b0)
93075            && (   ((read_enable_0_d ==  1'b1) && (raw_x_0 ==  1'b1))
93076                || ((read_enable_1_d ==  1'b1) && (raw_x_1 ==  1'b1))
93077               )
93078           )
93079        || (   (m_bypass_enable_m ==  1'b0)
93080            && (   ((read_enable_0_d ==  1'b1) && (raw_m_0 ==  1'b1))
93081                || ((read_enable_1_d ==  1'b1) && (raw_m_1 ==  1'b1))
93082               )
93083           )
93084       )
93085        interlock =  1'b1;
93086    else
93087        interlock =  1'b0;
93088end
93089
93090
93091always @(*)
93092begin
93093    if (raw_x_0 ==  1'b1)
93094        bypass_data_0 = x_result;
93095    else if (raw_m_0 ==  1'b1)
93096        bypass_data_0 = m_result;
93097    else if (raw_w_0 ==  1'b1)
93098        bypass_data_0 = w_result;
93099    else
93100        bypass_data_0 = reg_data_0;
93101end
93102
93103
93104always @(*)
93105begin
93106    if (raw_x_1 ==  1'b1)
93107        bypass_data_1 = x_result;
93108    else if (raw_m_1 ==  1'b1)
93109        bypass_data_1 = m_result;
93110    else if (raw_w_1 ==  1'b1)
93111        bypass_data_1 = w_result;
93112    else
93113        bypass_data_1 = reg_data_1;
93114end
93115
93116
93117
93118
93119
93120
93121
93122   assign branch_predict_d = bi_unconditional | bi_conditional;
93123   assign branch_predict_taken_d = bi_unconditional ? 1'b1 : (bi_conditional ? instruction_d[15] : 1'b0);
93124
93125
93126   assign branch_target_d = pc_d + branch_offset_d;
93127
93128
93129
93130
93131   assign branch_predict_address_d = branch_predict_taken_d ? branch_target_d : pc_f;
93132
93133
93134always @(*)
93135begin
93136    d_result_0 = d_result_sel_0_d[0] ? {pc_f, 2'b00} : bypass_data_0;
93137    case (d_result_sel_1_d)
93138     2'b00:      d_result_1 = { 32{1'b0}};
93139     2'b01:     d_result_1 = bypass_data_1;
93140     2'b10: d_result_1 = immediate_d;
93141    default:                        d_result_1 = { 32{1'bx}};
93142    endcase
93143end
93144
93145
93146
93147
93148
93149
93150
93151
93152
93153
93154
93155assign sextb_result_x = {{24{operand_0_x[7]}}, operand_0_x[7:0]};
93156assign sexth_result_x = {{16{operand_0_x[15]}}, operand_0_x[15:0]};
93157assign sext_result_x = size_x ==  2'b00 ? sextb_result_x : sexth_result_x;
93158
93159
93160
93161
93162
93163
93164
93165
93166
93167
93168assign cmp_zero = operand_0_x == operand_1_x;
93169assign cmp_negative = adder_result_x[ 32-1];
93170assign cmp_overflow = adder_overflow_x;
93171assign cmp_carry_n = adder_carry_n_x;
93172always @(*)
93173begin
93174    case (condition_x)
93175     3'b000:   condition_met_x =  1'b1;
93176     3'b110:   condition_met_x =  1'b1;
93177     3'b001:    condition_met_x = cmp_zero;
93178     3'b111:   condition_met_x = !cmp_zero;
93179     3'b010:    condition_met_x = !cmp_zero && (cmp_negative == cmp_overflow);
93180     3'b101:   condition_met_x = cmp_carry_n && !cmp_zero;
93181     3'b011:   condition_met_x = cmp_negative == cmp_overflow;
93182     3'b100:  condition_met_x = cmp_carry_n;
93183    default:              condition_met_x = 1'bx;
93184    endcase
93185end
93186
93187
93188always @(*)
93189begin
93190    x_result =   x_result_sel_add_x ? adder_result_x
93191               : x_result_sel_csr_x ? csr_read_data_x
93192
93193
93194               : x_result_sel_sext_x ? sext_result_x
93195
93196
93197
93198
93199
93200
93201
93202
93203
93204
93205
93206
93207               : x_result_sel_mc_arith_x ? mc_result_x
93208
93209
93210               : logic_result_x;
93211end
93212
93213
93214always @(*)
93215begin
93216    m_result =   m_result_sel_compare_m ? {{ 32-1{1'b0}}, condition_met_m}
93217
93218
93219               : m_result_sel_shift_m ? shifter_result_m
93220
93221
93222               : operand_m;
93223end
93224
93225
93226always @(*)
93227begin
93228    w_result =    w_result_sel_load_w ? load_data_w
93229
93230
93231                : w_result_sel_mul_w ? multiplier_result_w
93232
93233
93234                : operand_w;
93235end
93236
93237
93238
93239
93240
93241
93242
93243
93244
93245
93246
93247
93248
93249assign branch_taken_m =      (stall_m ==  1'b0)
93250                          && (   (   (branch_m ==  1'b1)
93251                                  && (valid_m ==  1'b1)
93252                                  && (   (   (condition_met_m ==  1'b1)
93253					  && (branch_predict_taken_m ==  1'b0)
93254					 )
93255				      || (   (condition_met_m ==  1'b0)
93256					  && (branch_predict_m ==  1'b1)
93257					  && (branch_predict_taken_m ==  1'b1)
93258					 )
93259				     )
93260                                 )
93261                              || (exception_m ==  1'b1)
93262                             );
93263
93264
93265assign branch_mispredict_taken_m =    (condition_met_m ==  1'b0)
93266                                   && (branch_predict_m ==  1'b1)
93267	   			   && (branch_predict_taken_m ==  1'b1);
93268
93269
93270assign branch_flushX_m =    (stall_m ==  1'b0)
93271                         && (   (   (branch_m ==  1'b1)
93272                                 && (valid_m ==  1'b1)
93273			         && (   (condition_met_m ==  1'b1)
93274				     || (   (condition_met_m ==  1'b0)
93275					 && (branch_predict_m ==  1'b1)
93276					 && (branch_predict_taken_m ==  1'b1)
93277					)
93278				    )
93279			        )
93280			     || (exception_m ==  1'b1)
93281			    );
93282
93283
93284assign kill_f =    (   (valid_d ==  1'b1)
93285                    && (branch_predict_taken_d ==  1'b1)
93286		   )
93287                || (branch_taken_m ==  1'b1)
93288
93289
93290
93291
93292
93293
93294
93295
93296
93297
93298
93299
93300                ;
93301assign kill_d =    (branch_taken_m ==  1'b1)
93302
93303
93304
93305
93306
93307
93308
93309
93310
93311
93312
93313
93314                ;
93315assign kill_x =    (branch_flushX_m ==  1'b1)
93316
93317
93318
93319
93320                ;
93321assign kill_m =     1'b0
93322
93323
93324
93325
93326                ;
93327assign kill_w =     1'b0
93328
93329
93330
93331
93332                ;
93333
93334
93335
93336
93337
93338assign breakpoint_exception =    (   (   (break_x ==  1'b1)
93339				      || (bp_match ==  1'b1)
93340				     )
93341				  && (valid_x ==  1'b1)
93342				 )
93343
93344
93345
93346
93347                              ;
93348
93349
93350
93351
93352
93353assign watchpoint_exception = wp_match ==  1'b1;
93354
93355
93356
93357
93358
93359assign instruction_bus_error_exception = (   (bus_error_x ==  1'b1)
93360                                          && (valid_x ==  1'b1)
93361                                         );
93362assign data_bus_error_exception = data_bus_error_seen ==  1'b1;
93363
93364
93365
93366
93367
93368assign divide_by_zero_exception = divide_by_zero_x ==  1'b1;
93369
93370
93371
93372assign system_call_exception = (   (scall_x ==  1'b1)
93373
93374
93375                                && (valid_x ==  1'b1)
93376
93377
93378			       );
93379
93380
93381
93382assign debug_exception_x =  (breakpoint_exception ==  1'b1)
93383                         || (watchpoint_exception ==  1'b1)
93384                         ;
93385
93386assign non_debug_exception_x = (system_call_exception ==  1'b1)
93387
93388
93389
93390
93391
93392
93393                            || (instruction_bus_error_exception ==  1'b1)
93394                            || (data_bus_error_exception ==  1'b1)
93395
93396
93397
93398
93399                            || (divide_by_zero_exception ==  1'b1)
93400
93401
93402
93403
93404                            || (   (interrupt_exception ==  1'b1)
93405
93406
93407                                && (dc_ss ==  1'b0)
93408
93409
93410
93411
93412 				&& (store_q_m ==  1'b0)
93413				&& (D_CYC_O ==  1'b0)
93414
93415
93416                               )
93417
93418
93419                            ;
93420
93421assign exception_x = (debug_exception_x ==  1'b1) || (non_debug_exception_x ==  1'b1);
93422
93423
93424
93425
93426
93427
93428
93429
93430
93431
93432
93433
93434
93435
93436
93437
93438
93439
93440
93441
93442
93443
93444
93445
93446
93447
93448reg user_stall;
93449
93450always@(posedge clk_i)
93451  if(rst_i)
93452    user_stall <= 0;
93453  else if(!D_CYC_O)
93454    user_stall <= ~enable_i;
93455
93456
93457
93458
93459always @(*)
93460begin
93461
93462
93463
93464
93465
93466
93467
93468
93469
93470
93471         if (data_bus_error_exception ==  1'b1)
93472        eid_x =  3'h4;
93473    else
93474
93475
93476         if (breakpoint_exception ==  1'b1)
93477        eid_x =  3'd1;
93478    else
93479
93480
93481
93482
93483         if (data_bus_error_exception ==  1'b1)
93484        eid_x =  3'h4;
93485    else
93486         if (instruction_bus_error_exception ==  1'b1)
93487        eid_x =  3'h2;
93488    else
93489
93490
93491
93492
93493         if (watchpoint_exception ==  1'b1)
93494        eid_x =  3'd3;
93495    else
93496
93497
93498
93499
93500         if (divide_by_zero_exception ==  1'b1)
93501        eid_x =  3'h5;
93502    else
93503
93504
93505
93506
93507         if (   (interrupt_exception ==  1'b1)
93508
93509
93510             && (dc_ss ==  1'b0)
93511
93512
93513            )
93514        eid_x =  3'h6;
93515    else
93516
93517
93518        eid_x =  3'h7;
93519end
93520
93521
93522
93523assign stall_a = (stall_f ==  1'b1);
93524
93525assign stall_f = (stall_d ==  1'b1);
93526
93527assign stall_d =   (stall_x ==  1'b1)
93528                || (   (interlock ==  1'b1)
93529                    && (kill_d ==  1'b0)
93530                   )
93531		|| (   (   (eret_d ==  1'b1)
93532			|| (scall_d ==  1'b1)
93533
93534
93535			|| (bus_error_d ==  1'b1)
93536
93537
93538		       )
93539		    && (   (load_q_x ==  1'b1)
93540			|| (load_q_m ==  1'b1)
93541			|| (store_q_x ==  1'b1)
93542			|| (store_q_m ==  1'b1)
93543			|| (D_CYC_O ==  1'b1)
93544		       )
93545                    && (kill_d ==  1'b0)
93546		   )
93547
93548
93549		|| (   (   (break_d ==  1'b1)
93550			|| (bret_d ==  1'b1)
93551		       )
93552		    && (   (load_q_x ==  1'b1)
93553			|| (store_q_x ==  1'b1)
93554			|| (load_q_m ==  1'b1)
93555			|| (store_q_m ==  1'b1)
93556			|| (D_CYC_O ==  1'b1)
93557		       )
93558                    && (kill_d ==  1'b0)
93559		   )
93560
93561
93562                || (   (csr_write_enable_d ==  1'b1)
93563                    && (load_q_x ==  1'b1)
93564                   )
93565
93566
93567
93568
93569                 || (   (iram_stall_request_x ==  1'b1)
93570		     && (   (load_d ==  1'b1)
93571
93572			)
93573		    )
93574
93575
93576                ;
93577
93578assign stall_x =    (stall_m ==  1'b1)
93579
93580
93581                 || (   (mc_stall_request_x ==  1'b1)
93582                     && (kill_x ==  1'b0)
93583                    )
93584
93585
93586
93587
93588                 ;
93589
93590assign stall_m =    (stall_wb_load ==  1'b1)
93591
93592
93593
93594
93595                 || (   (D_CYC_O ==  1'b1)
93596                     && (   (store_m ==  1'b1)
93597
93598
93599
93600
93601
93602
93603
93604
93605
93606
93607
93608
93609
93610
93611
93612		         || ((store_x ==  1'b1) && (interrupt_exception ==  1'b1))
93613
93614
93615                         || (load_m ==  1'b1)
93616                         || (load_x ==  1'b1)
93617                        )
93618                    )
93619
93620
93621
93622
93623
93624
93625
93626
93627
93628
93629
93630
93631
93632
93633
93634
93635
93636
93637
93638
93639
93640
93641
93642
93643
93644                 || (user_stall)
93645
93646
93647                 ;
93648
93649
93650
93651
93652
93653
93654assign q_d = (valid_d ==  1'b1) && (kill_d ==  1'b0);
93655
93656
93657
93658
93659
93660
93661
93662
93663
93664
93665
93666
93667
93668assign divide_q_d = (divide_d ==  1'b1) && (q_d ==  1'b1);
93669assign modulus_q_d = (modulus_d ==  1'b1) && (q_d ==  1'b1);
93670
93671
93672assign q_x = (valid_x ==  1'b1) && (kill_x ==  1'b0);
93673assign csr_write_enable_q_x = (csr_write_enable_x ==  1'b1) && (q_x ==  1'b1);
93674assign eret_q_x = (eret_x ==  1'b1) && (q_x ==  1'b1);
93675
93676
93677assign bret_q_x = (bret_x ==  1'b1) && (q_x ==  1'b1);
93678
93679
93680assign load_q_x = (load_x ==  1'b1)
93681               && (q_x ==  1'b1)
93682
93683
93684               && (bp_match ==  1'b0)
93685
93686
93687                  ;
93688assign store_q_x = (store_x ==  1'b1)
93689               && (q_x ==  1'b1)
93690
93691
93692               && (bp_match ==  1'b0)
93693
93694
93695                  ;
93696
93697
93698
93699
93700assign q_m = (valid_m ==  1'b1) && (kill_m ==  1'b0) && (exception_m ==  1'b0);
93701assign load_q_m = (load_m ==  1'b1) && (q_m ==  1'b1);
93702assign store_q_m = (store_m ==  1'b1) && (q_m ==  1'b1);
93703
93704
93705assign debug_exception_q_w = ((debug_exception_w ==  1'b1) && (valid_w ==  1'b1));
93706assign non_debug_exception_q_w = ((non_debug_exception_w ==  1'b1) && (valid_w ==  1'b1));
93707
93708
93709
93710
93711
93712assign write_enable_q_x = (write_enable_x ==  1'b1) && (valid_x ==  1'b1) && (branch_flushX_m ==  1'b0);
93713assign write_enable_q_m = (write_enable_m ==  1'b1) && (valid_m ==  1'b1);
93714assign write_enable_q_w = (write_enable_w ==  1'b1) && (valid_w ==  1'b1);
93715
93716assign reg_write_enable_q_w = (write_enable_w ==  1'b1) && (kill_w ==  1'b0) && (valid_w ==  1'b1);
93717
93718
93719assign cfg = {
93720               6'h02,
93721              watchpoints[3:0],
93722              breakpoints[3:0],
93723              interrupts[5:0],
93724
93725
93726
93727
93728               1'b0,
93729
93730
93731
93732
93733
93734
93735               1'b0,
93736
93737
93738
93739
93740               1'b1,
93741
93742
93743
93744
93745
93746
93747               1'b1,
93748
93749
93750
93751
93752
93753
93754
93755
93756               1'b0,
93757
93758
93759
93760
93761
93762
93763               1'b0,
93764
93765
93766
93767
93768
93769
93770               1'b0,
93771
93772
93773
93774
93775
93776
93777               1'b0,
93778
93779
93780
93781
93782               1'b1,
93783
93784
93785
93786
93787
93788
93789               1'b1,
93790
93791
93792
93793
93794
93795
93796               1'b1,
93797
93798
93799
93800
93801
93802
93803               1'b1
93804
93805
93806
93807
93808              };
93809
93810assign cfg2 = {
93811		     30'b0,
93812
93813
93814		      1'b1,
93815
93816
93817
93818
93819
93820
93821
93822
93823		      1'b0
93824
93825
93826		     };
93827
93828
93829
93830
93831
93832
93833
93834
93835
93836
93837
93838
93839
93840
93841
93842
93843
93844
93845
93846
93847
93848
93849
93850
93851
93852
93853
93854
93855
93856
93857
93858assign csr_d = read_idx_0_d[ (5-1):0];
93859
93860
93861always @(*)
93862begin
93863    case (csr_x)
93864
93865
93866     5'h0,
93867     5'h1,
93868     5'h2:   csr_read_data_x = interrupt_csr_read_data_x;
93869
93870
93871
93872
93873
93874
93875     5'h6:  csr_read_data_x = cfg;
93876     5'h7:  csr_read_data_x = {eba, 8'h00};
93877
93878
93879     5'h9: csr_read_data_x = {deba, 8'h00};
93880
93881
93882
93883
93884
93885
93886
93887     5'ha: csr_read_data_x = cfg2;
93888     5'hb:  csr_read_data_x = sdb_address;
93889
93890
93891     5'hc:  csr_read_data_x = data_bus_error_addr;
93892
93893
93894
93895
93896    default:        csr_read_data_x = { 32{1'bx}};
93897    endcase
93898end
93899
93900
93901
93902
93903
93904
93905always @(posedge clk_i  )
93906begin
93907    if (rst_i ==  1'b1)
93908        eba <= eba_reset[ (32-2)+2-1:8];
93909    else
93910    begin
93911        if ((csr_write_enable_q_x ==  1'b1) && (csr_x ==  5'h7) && (stall_x ==  1'b0))
93912            eba <= operand_1_x[ (32-2)+2-1:8];
93913
93914
93915
93916
93917
93918
93919
93920
93921
93922       if ((dbg_csr_write_enable_i ==  1'b1) && (dbg_csr_addr_i ==  5'h7))
93923         eba <= dbg_csr_write_data_i[ (32-2)+2-1:8];
93924
93925
93926
93927
93928    end
93929end
93930
93931
93932
93933
93934always @(posedge clk_i  )
93935begin
93936    if (rst_i ==  1'b1)
93937        deba <= deba_reset[ (32-2)+2-1:8];
93938    else
93939    begin
93940        if ((csr_write_enable_q_x ==  1'b1) && (csr_x ==  5'h9) && (stall_x ==  1'b0))
93941            deba <= operand_1_x[ (32-2)+2-1:8];
93942
93943
93944
93945
93946
93947
93948
93949
93950
93951       if ((dbg_csr_write_enable_i ==  1'b1) && (dbg_csr_addr_i ==  5'h9))
93952         deba <= dbg_csr_write_data_i[ (32-2)+2-1:8];
93953
93954
93955
93956
93957    end
93958end
93959
93960
93961
93962
93963
93964
93965
93966
93967
93968
93969
93970
93971
93972
93973
93974
93975
93976
93977always @(posedge clk_i  )
93978begin
93979    if (rst_i ==  1'b1)
93980        data_bus_error_seen <=  1'b0;
93981    else
93982    begin
93983
93984        if ((D_ERR_I ==  1'b1) && (D_CYC_O ==  1'b1)) begin
93985           data_bus_error_seen <=  1'b1;
93986	   data_bus_error_addr <= D_ADR_O;
93987	end
93988
93989        if ((exception_m ==  1'b1) && (kill_m ==  1'b0))
93990            data_bus_error_seen <=  1'b0;
93991    end
93992end
93993
93994
93995
93996
93997
93998
93999
94000
94001
94002
94003
94004
94005
94006
94007
94008
94009
94010
94011
94012
94013
94014
94015
94016
94017
94018
94019
94020
94021
94022
94023
94024
94025
94026
94027
94028
94029
94030
94031
94032
94033
94034
94035
94036
94037
94038
94039
94040
94041always @(posedge clk_i  )
94042begin
94043    if (rst_i ==  1'b1)
94044    begin
94045        valid_f <=  1'b0;
94046        valid_d <=  1'b0;
94047        valid_x <=  1'b0;
94048        valid_m <=  1'b0;
94049        valid_w <=  1'b0;
94050    end
94051    else
94052    begin
94053        if ((kill_f ==  1'b1) || (stall_a ==  1'b0))
94054
94055
94056
94057
94058            valid_f <=  1'b1;
94059
94060
94061        else if (stall_f ==  1'b0)
94062            valid_f <=  1'b0;
94063
94064        if (kill_d ==  1'b1)
94065            valid_d <=  1'b0;
94066        else if (stall_f ==  1'b0)
94067            valid_d <= valid_f & !kill_f;
94068        else if (stall_d ==  1'b0)
94069            valid_d <=  1'b0;
94070
94071        if (stall_d ==  1'b0)
94072            valid_x <= valid_d & !kill_d;
94073        else if (kill_x ==  1'b1)
94074            valid_x <=  1'b0;
94075        else if (stall_x ==  1'b0)
94076            valid_x <=  1'b0;
94077
94078        if (kill_m ==  1'b1)
94079            valid_m <=  1'b0;
94080        else if (stall_x ==  1'b0)
94081            valid_m <= valid_x & !kill_x;
94082        else if (stall_m ==  1'b0)
94083            valid_m <=  1'b0;
94084
94085        if (stall_m ==  1'b0)
94086            valid_w <= valid_m & !kill_m;
94087        else
94088            valid_w <=  1'b0;
94089    end
94090end
94091
94092
94093always @(posedge clk_i  )
94094begin
94095    if (rst_i ==  1'b1)
94096    begin
94097
94098
94099
94100
94101        operand_0_x <= { 32{1'b0}};
94102        operand_1_x <= { 32{1'b0}};
94103        store_operand_x <= { 32{1'b0}};
94104        branch_target_x <= { (32-2){1'b0}};
94105        x_result_sel_csr_x <=  1'b0;
94106
94107
94108        x_result_sel_mc_arith_x <=  1'b0;
94109
94110
94111
94112
94113
94114
94115
94116
94117        x_result_sel_sext_x <=  1'b0;
94118
94119
94120
94121
94122
94123
94124        x_result_sel_add_x <=  1'b0;
94125        m_result_sel_compare_x <=  1'b0;
94126
94127
94128        m_result_sel_shift_x <=  1'b0;
94129
94130
94131        w_result_sel_load_x <=  1'b0;
94132
94133
94134        w_result_sel_mul_x <=  1'b0;
94135
94136
94137        x_bypass_enable_x <=  1'b0;
94138        m_bypass_enable_x <=  1'b0;
94139        write_enable_x <=  1'b0;
94140        write_idx_x <= { 5{1'b0}};
94141        csr_x <= { 5{1'b0}};
94142        load_x <=  1'b0;
94143        store_x <=  1'b0;
94144        size_x <= { 2{1'b0}};
94145        sign_extend_x <=  1'b0;
94146        adder_op_x <=  1'b0;
94147        adder_op_x_n <=  1'b0;
94148        logic_op_x <= 4'h0;
94149
94150
94151        direction_x <=  1'b0;
94152
94153
94154
94155
94156
94157
94158
94159        branch_x <=  1'b0;
94160        branch_predict_x <=  1'b0;
94161        branch_predict_taken_x <=  1'b0;
94162        condition_x <=  3'b000;
94163
94164
94165        break_x <=  1'b0;
94166
94167
94168        scall_x <=  1'b0;
94169        eret_x <=  1'b0;
94170
94171
94172        bret_x <=  1'b0;
94173
94174
94175
94176
94177        bus_error_x <=  1'b0;
94178        data_bus_error_exception_m <=  1'b0;
94179
94180
94181        csr_write_enable_x <=  1'b0;
94182        operand_m <= { 32{1'b0}};
94183        branch_target_m <= { (32-2){1'b0}};
94184        m_result_sel_compare_m <=  1'b0;
94185
94186
94187        m_result_sel_shift_m <=  1'b0;
94188
94189
94190        w_result_sel_load_m <=  1'b0;
94191
94192
94193        w_result_sel_mul_m <=  1'b0;
94194
94195
94196        m_bypass_enable_m <=  1'b0;
94197        branch_m <=  1'b0;
94198        branch_predict_m <=  1'b0;
94199	branch_predict_taken_m <=  1'b0;
94200        exception_m <=  1'b0;
94201        load_m <=  1'b0;
94202        store_m <=  1'b0;
94203        write_enable_m <=  1'b0;
94204        write_idx_m <= { 5{1'b0}};
94205        condition_met_m <=  1'b0;
94206
94207
94208
94209
94210
94211
94212        debug_exception_m <=  1'b0;
94213        non_debug_exception_m <=  1'b0;
94214
94215
94216        operand_w <= { 32{1'b0}};
94217        w_result_sel_load_w <=  1'b0;
94218
94219
94220        w_result_sel_mul_w <=  1'b0;
94221
94222
94223        write_idx_w <= { 5{1'b0}};
94224        write_enable_w <=  1'b0;
94225
94226
94227        debug_exception_w <=  1'b0;
94228        non_debug_exception_w <=  1'b0;
94229
94230
94231
94232
94233
94234
94235        memop_pc_w <= { (32-2){1'b0}};
94236
94237
94238    end
94239    else
94240    begin
94241
94242
94243        if (stall_x ==  1'b0)
94244        begin
94245
94246
94247
94248
94249            operand_0_x <= d_result_0;
94250            operand_1_x <= d_result_1;
94251            store_operand_x <= bypass_data_1;
94252            branch_target_x <= branch_reg_d ==  1'b1 ? bypass_data_0[ ((32-2)+2-1):2] : branch_target_d;
94253            x_result_sel_csr_x <= x_result_sel_csr_d;
94254
94255
94256            x_result_sel_mc_arith_x <= x_result_sel_mc_arith_d;
94257
94258
94259
94260
94261
94262
94263
94264
94265            x_result_sel_sext_x <= x_result_sel_sext_d;
94266
94267
94268
94269
94270
94271
94272            x_result_sel_add_x <= x_result_sel_add_d;
94273            m_result_sel_compare_x <= m_result_sel_compare_d;
94274
94275
94276            m_result_sel_shift_x <= m_result_sel_shift_d;
94277
94278
94279            w_result_sel_load_x <= w_result_sel_load_d;
94280
94281
94282            w_result_sel_mul_x <= w_result_sel_mul_d;
94283
94284
94285            x_bypass_enable_x <= x_bypass_enable_d;
94286            m_bypass_enable_x <= m_bypass_enable_d;
94287            load_x <= load_d;
94288            store_x <= store_d;
94289            branch_x <= branch_d;
94290	    branch_predict_x <= branch_predict_d;
94291	    branch_predict_taken_x <= branch_predict_taken_d;
94292	    write_idx_x <= write_idx_d;
94293            csr_x <= csr_d;
94294            size_x <= size_d;
94295            sign_extend_x <= sign_extend_d;
94296            adder_op_x <= adder_op_d;
94297            adder_op_x_n <= ~adder_op_d;
94298            logic_op_x <= logic_op_d;
94299
94300
94301            direction_x <= direction_d;
94302
94303
94304
94305
94306
94307
94308            condition_x <= condition_d;
94309            csr_write_enable_x <= csr_write_enable_d;
94310
94311
94312            break_x <= break_d;
94313
94314
94315            scall_x <= scall_d;
94316
94317
94318            bus_error_x <= bus_error_d;
94319
94320
94321            eret_x <= eret_d;
94322
94323
94324            bret_x <= bret_d;
94325
94326
94327            write_enable_x <= write_enable_d;
94328        end
94329
94330
94331
94332        if (stall_m ==  1'b0)
94333        begin
94334            operand_m <= x_result;
94335            m_result_sel_compare_m <= m_result_sel_compare_x;
94336
94337
94338            m_result_sel_shift_m <= m_result_sel_shift_x;
94339
94340
94341            if (exception_x ==  1'b1)
94342            begin
94343                w_result_sel_load_m <=  1'b0;
94344
94345
94346                w_result_sel_mul_m <=  1'b0;
94347
94348
94349            end
94350            else
94351            begin
94352                w_result_sel_load_m <= w_result_sel_load_x;
94353
94354
94355                w_result_sel_mul_m <= w_result_sel_mul_x;
94356
94357
94358            end
94359            m_bypass_enable_m <= m_bypass_enable_x;
94360            load_m <= load_x;
94361            store_m <= store_x;
94362
94363
94364
94365
94366            branch_m <= branch_x;
94367	    branch_predict_m <= branch_predict_x;
94368	    branch_predict_taken_m <= branch_predict_taken_x;
94369
94370
94371
94372
94373
94374
94375
94376
94377
94378            if (non_debug_exception_x ==  1'b1)
94379                write_idx_m <=  5'd30;
94380            else if (debug_exception_x ==  1'b1)
94381                write_idx_m <=  5'd31;
94382            else
94383                write_idx_m <= write_idx_x;
94384
94385
94386
94387
94388
94389
94390
94391            condition_met_m <= condition_met_x;
94392
94393
94394	   if (exception_x ==  1'b1)
94395	     if ((dc_re ==  1'b1)
94396		 || ((debug_exception_x ==  1'b1)
94397		     && (non_debug_exception_x ==  1'b0)))
94398	       branch_target_m <= {deba, eid_x, {3{1'b0}}};
94399	     else
94400	       branch_target_m <= {eba, eid_x, {3{1'b0}}};
94401	   else
94402	     branch_target_m <= branch_target_x;
94403
94404
94405
94406
94407
94408
94409
94410
94411
94412
94413
94414
94415
94416
94417
94418
94419
94420
94421
94422            write_enable_m <= exception_x ==  1'b1 ?  1'b1 : write_enable_x;
94423
94424
94425            debug_exception_m <= debug_exception_x;
94426            non_debug_exception_m <= non_debug_exception_x;
94427
94428
94429        end
94430
94431
94432        if (stall_m ==  1'b0)
94433        begin
94434            if ((exception_x ==  1'b1) && (q_x ==  1'b1) && (stall_x ==  1'b0))
94435                exception_m <=  1'b1;
94436            else
94437                exception_m <=  1'b0;
94438
94439
94440	   data_bus_error_exception_m <=    (data_bus_error_exception ==  1'b1)
94441
94442
94443					 && (reset_exception ==  1'b0)
94444
94445
94446					 ;
94447
94448
94449	end
94450
94451
94452
94453
94454        operand_w <= exception_m ==  1'b1 ? (data_bus_error_exception_m ? {memop_pc_w, 2'b00} : {pc_m, 2'b00}) : m_result;
94455
94456
94457
94458
94459        w_result_sel_load_w <= w_result_sel_load_m;
94460
94461
94462        w_result_sel_mul_w <= w_result_sel_mul_m;
94463
94464
94465        write_idx_w <= write_idx_m;
94466
94467
94468
94469
94470
94471
94472
94473
94474        write_enable_w <= write_enable_m;
94475
94476
94477        debug_exception_w <= debug_exception_m;
94478        non_debug_exception_w <= non_debug_exception_m;
94479
94480
94481
94482
94483
94484
94485        if (   (stall_m ==  1'b0)
94486            && (   (load_q_m ==  1'b1)
94487                || (store_q_m ==  1'b1)
94488               )
94489	   )
94490          memop_pc_w <= pc_m;
94491
94492
94493    end
94494end
94495
94496
94497
94498
94499
94500always @(posedge clk_i  )
94501begin
94502    if (rst_i ==  1'b1)
94503    begin
94504        use_buf <=  1'b0;
94505        reg_data_buf_0 <= { 32{1'b0}};
94506        reg_data_buf_1 <= { 32{1'b0}};
94507    end
94508    else
94509    begin
94510        if (stall_d ==  1'b0)
94511            use_buf <=  1'b0;
94512        else if (use_buf ==  1'b0)
94513        begin
94514            reg_data_buf_0 <= reg_data_live_0;
94515            reg_data_buf_1 <= reg_data_live_1;
94516            use_buf <=  1'b1;
94517        end
94518        if (reg_write_enable_q_w ==  1'b1)
94519        begin
94520            if (write_idx_w == read_idx_0_d)
94521                reg_data_buf_0 <= w_result;
94522            if (write_idx_w == read_idx_1_d)
94523                reg_data_buf_1 <= w_result;
94524        end
94525    end
94526end
94527
94528
94529
94530
94531
94532
94533
94534
94535
94536
94537
94538
94539
94540
94541
94542
94543
94544
94545
94546
94547
94548
94549
94550
94551
94552
94553
94554
94555
94556
94557
94558
94559
94560
94561
94562
94563
94564
94565
94566
94567
94568
94569
94570
94571
94572
94573
94574
94575
94576
94577
94578
94579
94580
94581
94582
94583
94584
94585
94586
94587
94588
94589
94590
94591
94592
94593
94594
94595
94596
94597
94598
94599
94600
94601
94602
94603
94604
94605
94606
94607
94608
94609
94610
94611
94612
94613
94614
94615
94616
94617
94618
94619
94620
94621
94622
94623
94624
94625
94626
94627
94628
94629
94630
94631
94632
94633
94634
94635
94636
94637
94638
94639
94640
94641
94642
94643
94644
94645
94646
94647endmodule
94648
94649
94650
94651
94652
94653
94654
94655
94656
94657
94658
94659
94660
94661
94662
94663
94664
94665
94666
94667
94668
94669
94670
94671
94672
94673
94674
94675
94676
94677
94678
94679
94680
94681
94682
94683
94684
94685
94686
94687
94688
94689
94690
94691
94692
94693
94694
94695
94696
94697
94698
94699
94700
94701
94702
94703
94704
94705
94706
94707
94708
94709
94710
94711
94712
94713
94714
94715
94716
94717
94718
94719
94720
94721
94722
94723
94724
94725
94726
94727
94728
94729
94730
94731
94732
94733
94734
94735
94736
94737
94738
94739
94740
94741
94742
94743
94744
94745
94746
94747
94748
94749
94750
94751
94752
94753
94754
94755
94756
94757
94758
94759
94760
94761
94762
94763
94764
94765
94766
94767
94768
94769
94770
94771
94772
94773
94774
94775
94776
94777
94778
94779
94780
94781
94782
94783
94784
94785
94786
94787
94788
94789
94790
94791
94792
94793
94794
94795
94796
94797
94798
94799
94800
94801
94802
94803
94804
94805
94806
94807
94808
94809
94810
94811
94812
94813
94814
94815
94816
94817
94818
94819
94820
94821
94822
94823
94824
94825
94826
94827
94828
94829
94830
94831
94832
94833
94834
94835
94836
94837
94838
94839
94840
94841
94842
94843
94844
94845
94846
94847
94848
94849
94850
94851
94852
94853
94854
94855
94856
94857
94858
94859
94860
94861
94862
94863
94864
94865
94866
94867
94868
94869
94870
94871
94872
94873
94874
94875
94876
94877
94878
94879
94880
94881
94882
94883
94884
94885
94886
94887
94888
94889
94890
94891
94892
94893
94894
94895
94896
94897
94898
94899
94900
94901
94902
94903
94904
94905
94906
94907
94908
94909
94910
94911
94912
94913
94914
94915
94916
94917
94918
94919
94920
94921
94922
94923
94924
94925
94926
94927
94928
94929
94930
94931
94932
94933
94934
94935
94936
94937
94938
94939
94940
94941
94942
94943
94944
94945
94946
94947
94948
94949
94950
94951
94952
94953
94954
94955
94956
94957
94958
94959
94960
94961
94962
94963
94964
94965
94966
94967
94968
94969
94970
94971
94972
94973
94974
94975
94976
94977
94978
94979
94980
94981
94982
94983
94984
94985
94986
94987
94988
94989
94990
94991
94992
94993
94994
94995
94996
94997
94998
94999
95000
95001
95002
95003
95004
95005
95006
95007
95008
95009
95010
95011
95012
95013
95014
95015
95016
95017
95018
95019
95020
95021module lm32_load_store_unit_wr_node
95022(
95023
95024    clk_i,
95025    rst_i,
95026
95027    stall_a,
95028    stall_x,
95029    stall_m,
95030    kill_x,
95031    kill_m,
95032    exception_m,
95033    store_operand_x,
95034    load_store_address_x,
95035    load_store_address_m,
95036    load_store_address_w,
95037    load_x,
95038    store_x,
95039    load_q_x,
95040    store_q_x,
95041    load_q_m,
95042    store_q_m,
95043    sign_extend_x,
95044    size_x,
95045
95046
95047
95048
95049
95050    d_dat_i,
95051    d_ack_i,
95052    d_err_i,
95053    d_rty_i,
95054
95055
95056
95057
95058
95059
95060
95061
95062
95063
95064
95065    iram_d_adr_o,
95066    iram_d_dat_o,
95067    iram_d_dat_i,
95068    iram_d_sel_o,
95069    iram_d_we_o,
95070    iram_d_en_o,
95071    iram_stall_request_x,
95072
95073
95074    load_data_w,
95075    stall_wb_load,
95076
95077    d_dat_o,
95078    d_adr_o,
95079    d_cyc_o,
95080    d_sel_o,
95081    d_stb_o,
95082    d_we_o,
95083    d_cti_o,
95084    d_lock_o,
95085    d_bte_o
95086    );
95087
95088
95089
95090
95091
95092parameter associativity = 1;
95093parameter sets = 512;
95094parameter bytes_per_line = 16;
95095parameter base_address = 0;
95096parameter limit = 0;
95097
95098
95099localparam addr_offset_width = bytes_per_line == 4 ? 1 : clogb2(bytes_per_line)-1-2;
95100localparam addr_offset_lsb = 2;
95101localparam addr_offset_msb = (addr_offset_lsb+addr_offset_width-1);
95102
95103
95104
95105
95106
95107   input clk_i;
95108
95109input rst_i;
95110
95111input stall_a;
95112input stall_x;
95113input stall_m;
95114input kill_x;
95115input kill_m;
95116input exception_m;
95117
95118input [ (32-1):0] store_operand_x;
95119input [ (32-1):0] load_store_address_x;
95120input [ (32-1):0] load_store_address_m;
95121input [1:0] load_store_address_w;
95122input load_x;
95123input store_x;
95124input load_q_x;
95125input store_q_x;
95126input load_q_m;
95127input store_q_m;
95128input sign_extend_x;
95129input [ 1:0] size_x;
95130
95131
95132
95133
95134
95135
95136
95137
95138   output [31:0] iram_d_adr_o;
95139   output [31:0] iram_d_dat_o;
95140   input [31:0]  iram_d_dat_i;
95141   output [3:0]  iram_d_sel_o;
95142   output        iram_d_en_o, iram_d_we_o;
95143   output 	 iram_stall_request_x;
95144
95145
95146
95147
95148   reg 		 [31:0] iram_dat_d0;
95149   reg 		 iram_en_d0;
95150   wire 	 iram_en;
95151   wire [31:0] 	 iram_data;
95152
95153
95154
95155input [ (32-1):0] d_dat_i;
95156input d_ack_i;
95157input d_err_i;
95158input d_rty_i;
95159
95160
95161
95162
95163
95164
95165
95166
95167
95168
95169
95170
95171
95172
95173
95174
95175
95176
95177output [ (32-1):0] load_data_w;
95178reg    [ (32-1):0] load_data_w;
95179output stall_wb_load;
95180reg    stall_wb_load;
95181
95182output [ (32-1):0] d_dat_o;
95183reg    [ (32-1):0] d_dat_o;
95184output [ (32-1):0] d_adr_o;
95185reg    [ (32-1):0] d_adr_o;
95186output d_cyc_o;
95187reg    d_cyc_o;
95188output [ (4-1):0] d_sel_o;
95189reg    [ (4-1):0] d_sel_o;
95190output d_stb_o;
95191reg    d_stb_o;
95192output d_we_o;
95193reg    d_we_o;
95194output [ (3-1):0] d_cti_o;
95195reg    [ (3-1):0] d_cti_o;
95196output d_lock_o;
95197reg    d_lock_o;
95198output [ (2-1):0] d_bte_o;
95199wire   [ (2-1):0] d_bte_o;
95200
95201
95202
95203
95204
95205
95206reg [ 1:0] size_m;
95207reg [ 1:0] size_w;
95208reg sign_extend_m;
95209reg sign_extend_w;
95210reg [ (32-1):0] store_data_x;
95211reg [ (32-1):0] store_data_m;
95212reg [ (4-1):0] byte_enable_x;
95213reg [ (4-1):0] byte_enable_m;
95214wire [ (32-1):0] data_m;
95215reg [ (32-1):0] data_w;
95216
95217
95218
95219
95220
95221
95222
95223
95224
95225
95226
95227
95228
95229
95230
95231
95232
95233
95234
95235
95236
95237
95238
95239
95240
95241wire wb_select_x;
95242
95243
95244wire iram_select_x;
95245
95246reg  iram_enable_m;
95247   reg iram_select_m;
95248   reg iram_d_en_d0;
95249
95250
95251
95252
95253reg wb_select_m;
95254reg [ (32-1):0] wb_data_m;
95255reg wb_load_complete;
95256
95257
95258
95259
95260
95261
95262
95263
95264
95265
95266
95267
95268
95269
95270
95271
95272
95273
95274
95275
95276
95277
95278
95279
95280
95281
95282
95283
95284
95285
95286
95287
95288
95289
95290
95291function integer clogb2;
95292input [31:0] value;
95293begin
95294   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
95295        value = value >> 1;
95296end
95297endfunction
95298
95299function integer clogb2_v1;
95300input [31:0] value;
95301reg   [31:0] i;
95302reg   [31:0] temp;
95303begin
95304   temp = 0;
95305   i    = 0;
95306   for (i = 0; temp < value; i = i + 1)
95307	temp = 1<<i;
95308   clogb2_v1 = i-1;
95309end
95310endfunction
95311
95312
95313
95314
95315
95316
95317
95318
95319
95320
95321
95322
95323
95324
95325
95326
95327
95328
95329
95330
95331
95332
95333
95334
95335
95336
95337
95338
95339
95340
95341
95342
95343
95344
95345
95346
95347
95348
95349
95350
95351
95352
95353
95354
95355
95356
95357
95358
95359
95360
95361
95362
95363
95364
95365
95366
95367
95368   assign iram_select_x =    (load_store_address_x >=  0)
95369                          && (load_store_address_x <=  32'h000fffff);
95370
95371   assign iram_d_sel_o = byte_enable_m;
95372   assign iram_en = !stall_x || !stall_m;
95373
95374   always@(posedge clk_i)
95375     iram_en_d0 <= iram_en;
95376
95377
95378
95379
95380
95381
95382
95383
95384
95385
95386
95387
95388
95389
95390
95391
95392
95393
95394
95395
95396
95397
95398
95399
95400
95401
95402
95403
95404
95405
95406   assign wb_select_x =     1'b1
95407
95408
95409
95410
95411
95412
95413
95414
95415
95416
95417                        && !iram_select_x
95418
95419
95420                     ;
95421
95422
95423always @(*)
95424begin
95425    case (size_x)
95426     2'b00:  store_data_x = {4{store_operand_x[7:0]}};
95427     2'b11: store_data_x = {2{store_operand_x[15:0]}};
95428     2'b10:  store_data_x = store_operand_x;
95429    default:          store_data_x = { 32{1'bx}};
95430    endcase
95431end
95432
95433
95434always @(*)
95435begin
95436    casez ({size_x, load_store_address_x[1:0]})
95437    { 2'b00, 2'b11}:  byte_enable_x = 4'b0001;
95438    { 2'b00, 2'b10}:  byte_enable_x = 4'b0010;
95439    { 2'b00, 2'b01}:  byte_enable_x = 4'b0100;
95440    { 2'b00, 2'b00}:  byte_enable_x = 4'b1000;
95441    { 2'b11, 2'b1?}: byte_enable_x = 4'b0011;
95442    { 2'b11, 2'b0?}: byte_enable_x = 4'b1100;
95443    { 2'b10, 2'b??}:  byte_enable_x = 4'b1111;
95444    default:                   byte_enable_x = 4'bxxxx;
95445    endcase
95446end
95447
95448
95449
95450   assign iram_d_dat_o = store_data_m;
95451   assign iram_d_adr_o = (iram_enable_m && store_q_m) ? load_store_address_m : load_store_address_x;
95452
95453   assign iram_stall_request_x =    (iram_select_x ==  1'b1)
95454	                         && (store_q_x ==  1'b1);
95455
95456
95457   assign iram_d_we_o =    (iram_enable_m ==  1'b1) && (store_q_m ==  1'b1);
95458   assign iram_d_en_o = !stall_m || !stall_x;
95459
95460
95461
95462
95463
95464
95465
95466
95467
95468
95469
95470
95471
95472
95473
95474
95475
95476
95477
95478
95479
95480
95481
95482
95483
95484
95485
95486
95487
95488
95489
95490
95491
95492
95493
95494
95495
95496
95497
95498
95499
95500
95501
95502
95503
95504
95505
95506
95507
95508
95509
95510
95511
95512
95513
95514
95515
95516
95517   assign data_m = wb_select_m ==  1'b1
95518                   ? wb_data_m
95519                   : iram_d_dat_i;
95520
95521
95522
95523
95524
95525
95526
95527
95528
95529
95530
95531
95532always @(*)
95533begin
95534    casez ({size_w, load_store_address_w[1:0]})
95535    { 2'b00, 2'b11}:  load_data_w = {{24{sign_extend_w & data_w[7]}}, data_w[7:0]};
95536    { 2'b00, 2'b10}:  load_data_w = {{24{sign_extend_w & data_w[15]}}, data_w[15:8]};
95537    { 2'b00, 2'b01}:  load_data_w = {{24{sign_extend_w & data_w[23]}}, data_w[23:16]};
95538    { 2'b00, 2'b00}:  load_data_w = {{24{sign_extend_w & data_w[31]}}, data_w[31:24]};
95539    { 2'b11, 2'b1?}: load_data_w = {{16{sign_extend_w & data_w[15]}}, data_w[15:0]};
95540    { 2'b11, 2'b0?}: load_data_w = {{16{sign_extend_w & data_w[31]}}, data_w[31:16]};
95541    { 2'b10, 2'b??}:  load_data_w = data_w;
95542    default:                   load_data_w = { 32{1'bx}};
95543    endcase
95544end
95545
95546
95547assign d_bte_o =  2'b00;
95548
95549
95550
95551
95552
95553
95554
95555
95556
95557
95558
95559
95560
95561
95562
95563
95564
95565
95566
95567
95568
95569
95570
95571
95572
95573
95574
95575
95576
95577
95578
95579
95580
95581
95582
95583
95584always @(posedge clk_i  )
95585begin
95586    if (rst_i ==  1'b1)
95587    begin
95588        d_cyc_o <=  1'b0;
95589        d_stb_o <=  1'b0;
95590        d_dat_o <= { 32{1'b0}};
95591        d_adr_o <= { 32{1'b0}};
95592        d_sel_o <= { 4{ 1'b0}};
95593        d_we_o <=  1'b0;
95594        d_cti_o <=  3'b111;
95595        d_lock_o <=  1'b0;
95596        wb_data_m <= { 32{1'b0}};
95597        wb_load_complete <=  1'b0;
95598        stall_wb_load <=  1'b0;
95599
95600
95601
95602
95603    end
95604    else
95605    begin
95606
95607
95608
95609
95610
95611
95612        if (d_cyc_o ==  1'b1)
95613        begin
95614
95615            if ((d_ack_i ==  1'b1) || (d_err_i ==  1'b1))
95616            begin
95617
95618
95619
95620
95621
95622
95623
95624
95625
95626                begin
95627
95628                    d_cyc_o <=  1'b0;
95629                    d_stb_o <=  1'b0;
95630                    d_lock_o <=  1'b0;
95631                end
95632
95633
95634
95635
95636
95637
95638
95639                wb_data_m <= d_dat_i;
95640
95641                wb_load_complete <= !d_we_o;
95642            end
95643
95644        end
95645        else
95646        begin
95647
95648
95649
95650
95651
95652
95653
95654
95655
95656
95657
95658
95659
95660
95661
95662                 if (   (store_q_m ==  1'b1)
95663                     && (stall_m ==  1'b0)
95664
95665
95666
95667
95668
95669
95670		     && (iram_enable_m ==  1'b0)
95671
95672
95673                    )
95674            begin
95675
95676                d_dat_o <= store_data_m;
95677                d_adr_o <= load_store_address_m;
95678                d_cyc_o <=  1'b1;
95679                d_sel_o <= byte_enable_m;
95680                d_stb_o <=  1'b1;
95681                d_we_o <=  1'b1;
95682                d_cti_o <=  3'b111;
95683            end
95684            else if (   (load_q_m ==  1'b1)
95685                     && (wb_select_m ==  1'b1)
95686                     && (wb_load_complete ==  1'b0)
95687
95688                    )
95689            begin
95690
95691                stall_wb_load <=  1'b0;
95692                d_adr_o <= load_store_address_m;
95693                d_cyc_o <=  1'b1;
95694                d_sel_o <= byte_enable_m;
95695                d_stb_o <=  1'b1;
95696                d_we_o <=  1'b0;
95697                d_cti_o <=  3'b111;
95698            end
95699        end
95700
95701        if (stall_m ==  1'b0)
95702            wb_load_complete <=  1'b0;
95703
95704        if ((load_q_x ==  1'b1) && (wb_select_x ==  1'b1) && (stall_x ==  1'b0))
95705            stall_wb_load <=  1'b1;
95706
95707        if ((kill_m ==  1'b1) || (exception_m ==  1'b1))
95708            stall_wb_load <=  1'b0;
95709    end
95710end
95711
95712
95713
95714
95715always @(posedge clk_i  )
95716begin
95717    if (rst_i ==  1'b1)
95718    begin
95719        sign_extend_m <=  1'b0;
95720        size_m <= 2'b00;
95721        byte_enable_m <=  1'b0;
95722        store_data_m <= { 32{1'b0}};
95723
95724
95725
95726
95727
95728
95729
95730
95731
95732
95733        iram_enable_m <=  1'b0;
95734			  iram_select_m <=  1'b0;
95735
95736
95737        wb_select_m <=  1'b0;
95738    end
95739    else
95740    begin
95741        if (stall_m ==  1'b0)
95742        begin
95743            sign_extend_m <= sign_extend_x;
95744            size_m <= size_x;
95745            byte_enable_m <= byte_enable_x;
95746            store_data_m <= store_data_x;
95747
95748
95749
95750
95751
95752
95753
95754
95755
95756
95757            iram_enable_m <= iram_select_x;
95758			  iram_select_m <= iram_select_x;
95759
95760
95761            wb_select_m <= wb_select_x;
95762        end
95763    end
95764end
95765
95766
95767always @(posedge clk_i  )
95768begin
95769    if (rst_i ==  1'b1)
95770    begin
95771        size_w <= 2'b00;
95772        data_w <= { 32{1'b0}};
95773        sign_extend_w <=  1'b0;
95774    end
95775    else
95776    begin
95777        size_w <= size_m;
95778
95779
95780
95781       if(!iram_select_m || iram_en_d0)
95782
95783
95784        data_w <= data_m;
95785
95786        sign_extend_w <= sign_extend_m;
95787    end
95788end
95789
95790
95791
95792
95793
95794
95795
95796endmodule
95797
95798
95799
95800
95801
95802
95803
95804
95805
95806
95807
95808
95809
95810
95811
95812
95813
95814
95815
95816
95817
95818
95819
95820
95821
95822
95823
95824
95825
95826
95827
95828
95829
95830
95831
95832
95833
95834
95835
95836
95837
95838
95839
95840
95841
95842
95843
95844
95845
95846
95847
95848
95849
95850
95851
95852
95853
95854
95855
95856
95857
95858
95859
95860
95861
95862
95863
95864
95865
95866
95867
95868
95869
95870
95871
95872
95873
95874
95875
95876
95877
95878
95879
95880
95881
95882
95883
95884
95885
95886
95887
95888
95889
95890
95891
95892
95893
95894
95895
95896
95897
95898
95899
95900
95901
95902
95903
95904
95905
95906
95907
95908
95909
95910
95911
95912
95913
95914
95915
95916
95917
95918
95919
95920
95921
95922
95923
95924
95925
95926
95927
95928
95929
95930
95931
95932
95933
95934
95935
95936
95937
95938
95939
95940
95941
95942
95943
95944
95945
95946
95947
95948
95949
95950
95951
95952
95953
95954
95955
95956
95957
95958
95959
95960
95961
95962
95963
95964
95965
95966
95967
95968
95969
95970
95971
95972
95973
95974
95975
95976
95977
95978
95979
95980
95981
95982
95983
95984
95985
95986
95987
95988
95989
95990
95991
95992
95993
95994
95995
95996
95997
95998
95999
96000
96001
96002
96003
96004
96005
96006
96007
96008
96009
96010
96011
96012
96013
96014
96015
96016
96017
96018
96019
96020
96021
96022
96023
96024
96025
96026
96027
96028
96029
96030
96031
96032
96033
96034
96035
96036
96037
96038
96039
96040
96041
96042
96043
96044
96045
96046
96047
96048
96049
96050
96051
96052
96053
96054
96055
96056
96057
96058
96059
96060
96061
96062
96063
96064
96065
96066
96067
96068
96069
96070
96071
96072
96073
96074
96075
96076
96077
96078
96079
96080
96081
96082
96083
96084
96085
96086
96087
96088
96089
96090
96091
96092
96093
96094
96095
96096
96097
96098
96099
96100
96101
96102
96103
96104
96105
96106
96107
96108
96109
96110
96111
96112
96113
96114
96115
96116
96117
96118
96119
96120
96121
96122
96123
96124
96125
96126
96127
96128
96129
96130
96131
96132
96133
96134
96135
96136
96137
96138
96139
96140
96141
96142
96143
96144
96145
96146
96147
96148
96149
96150
96151
96152
96153
96154
96155
96156
96157
96158
96159
96160
96161
96162
96163
96164
96165
96166
96167
96168
96169
96170
96171
96172
96173
96174
96175
96176
96177
96178
96179
96180
96181
96182
96183
96184
96185
96186
96187
96188
96189
96190
96191
96192
96193
96194
96195
96196
96197
96198
96199
96200
96201
96202
96203
96204
96205
96206
96207
96208
96209
96210
96211
96212
96213
96214
96215
96216
96217
96218
96219
96220
96221
96222
96223
96224
96225
96226
96227
96228
96229
96230
96231
96232
96233
96234
96235
96236
96237
96238
96239
96240
96241
96242
96243
96244
96245
96246
96247
96248
96249
96250
96251
96252
96253
96254
96255
96256
96257
96258
96259
96260
96261module lm32_decoder_wr_node (
96262
96263    instruction,
96264
96265    d_result_sel_0,
96266    d_result_sel_1,
96267    x_result_sel_csr,
96268
96269
96270    x_result_sel_mc_arith,
96271
96272
96273
96274
96275
96276
96277
96278
96279    x_result_sel_sext,
96280
96281
96282    x_result_sel_logic,
96283
96284
96285
96286
96287    x_result_sel_add,
96288    m_result_sel_compare,
96289
96290
96291    m_result_sel_shift,
96292
96293
96294    w_result_sel_load,
96295
96296
96297    w_result_sel_mul,
96298
96299
96300    x_bypass_enable,
96301    m_bypass_enable,
96302    read_enable_0,
96303    read_idx_0,
96304    read_enable_1,
96305    read_idx_1,
96306    write_enable,
96307    write_idx,
96308    immediate,
96309    branch_offset,
96310    load,
96311    store,
96312    size,
96313    sign_extend,
96314    adder_op,
96315    logic_op,
96316
96317
96318    direction,
96319
96320
96321
96322
96323
96324
96325
96326
96327
96328
96329
96330
96331
96332    divide,
96333    modulus,
96334
96335
96336    branch,
96337    branch_reg,
96338    condition,
96339    bi_conditional,
96340    bi_unconditional,
96341
96342
96343    break_opcode,
96344
96345
96346    scall,
96347    eret,
96348
96349
96350    bret,
96351
96352
96353
96354
96355
96356
96357    csr_write_enable
96358    );
96359
96360
96361
96362
96363
96364input [ (32-1):0] instruction;
96365
96366
96367
96368
96369
96370output [ 0:0] d_result_sel_0;
96371reg    [ 0:0] d_result_sel_0;
96372output [ 1:0] d_result_sel_1;
96373reg    [ 1:0] d_result_sel_1;
96374output x_result_sel_csr;
96375reg    x_result_sel_csr;
96376
96377
96378output x_result_sel_mc_arith;
96379reg    x_result_sel_mc_arith;
96380
96381
96382
96383
96384
96385
96386
96387
96388
96389output x_result_sel_sext;
96390reg    x_result_sel_sext;
96391
96392
96393output x_result_sel_logic;
96394reg    x_result_sel_logic;
96395
96396
96397
96398
96399
96400output x_result_sel_add;
96401reg    x_result_sel_add;
96402output m_result_sel_compare;
96403reg    m_result_sel_compare;
96404
96405
96406output m_result_sel_shift;
96407reg    m_result_sel_shift;
96408
96409
96410output w_result_sel_load;
96411reg    w_result_sel_load;
96412
96413
96414output w_result_sel_mul;
96415reg    w_result_sel_mul;
96416
96417
96418output x_bypass_enable;
96419wire   x_bypass_enable;
96420output m_bypass_enable;
96421wire   m_bypass_enable;
96422output read_enable_0;
96423wire   read_enable_0;
96424output [ (5-1):0] read_idx_0;
96425wire   [ (5-1):0] read_idx_0;
96426output read_enable_1;
96427wire   read_enable_1;
96428output [ (5-1):0] read_idx_1;
96429wire   [ (5-1):0] read_idx_1;
96430output write_enable;
96431wire   write_enable;
96432output [ (5-1):0] write_idx;
96433wire   [ (5-1):0] write_idx;
96434output [ (32-1):0] immediate;
96435wire   [ (32-1):0] immediate;
96436output [ ((32-2)+2-1):2] branch_offset;
96437wire   [ ((32-2)+2-1):2] branch_offset;
96438output load;
96439wire   load;
96440output store;
96441wire   store;
96442output [ 1:0] size;
96443wire   [ 1:0] size;
96444output sign_extend;
96445wire   sign_extend;
96446output adder_op;
96447wire   adder_op;
96448output [ 3:0] logic_op;
96449wire   [ 3:0] logic_op;
96450
96451
96452output direction;
96453wire   direction;
96454
96455
96456
96457
96458
96459
96460
96461
96462
96463
96464
96465
96466
96467
96468
96469
96470output divide;
96471wire   divide;
96472output modulus;
96473wire   modulus;
96474
96475
96476output branch;
96477wire   branch;
96478output branch_reg;
96479wire   branch_reg;
96480output [ (3-1):0] condition;
96481wire   [ (3-1):0] condition;
96482output bi_conditional;
96483wire bi_conditional;
96484output bi_unconditional;
96485wire bi_unconditional;
96486
96487
96488output break_opcode;
96489wire   break_opcode;
96490
96491
96492output scall;
96493wire   scall;
96494output eret;
96495wire   eret;
96496
96497
96498output bret;
96499wire   bret;
96500
96501
96502
96503
96504
96505
96506
96507output csr_write_enable;
96508wire   csr_write_enable;
96509
96510
96511
96512
96513
96514wire [ (32-1):0] extended_immediate;
96515wire [ (32-1):0] high_immediate;
96516wire [ (32-1):0] call_immediate;
96517wire [ (32-1):0] branch_immediate;
96518wire sign_extend_immediate;
96519wire select_high_immediate;
96520wire select_call_immediate;
96521
96522wire op_add;
96523wire op_and;
96524wire op_andhi;
96525wire op_b;
96526wire op_bi;
96527wire op_be;
96528wire op_bg;
96529wire op_bge;
96530wire op_bgeu;
96531wire op_bgu;
96532wire op_bne;
96533wire op_call;
96534wire op_calli;
96535wire op_cmpe;
96536wire op_cmpg;
96537wire op_cmpge;
96538wire op_cmpgeu;
96539wire op_cmpgu;
96540wire op_cmpne;
96541
96542
96543wire op_divu;
96544
96545
96546wire op_lb;
96547wire op_lbu;
96548wire op_lh;
96549wire op_lhu;
96550wire op_lw;
96551
96552
96553wire op_modu;
96554
96555
96556
96557
96558wire op_mul;
96559
96560
96561wire op_nor;
96562wire op_or;
96563wire op_orhi;
96564wire op_raise;
96565wire op_rcsr;
96566wire op_sb;
96567
96568
96569wire op_sextb;
96570wire op_sexth;
96571
96572
96573wire op_sh;
96574
96575
96576wire op_sl;
96577
96578
96579wire op_sr;
96580wire op_sru;
96581wire op_sub;
96582wire op_sw;
96583
96584
96585
96586
96587wire op_wcsr;
96588wire op_xnor;
96589wire op_xor;
96590
96591wire arith;
96592wire logical;
96593wire cmp;
96594wire bra;
96595wire call;
96596
96597
96598wire shift;
96599
96600
96601
96602
96603
96604
96605
96606
96607wire sext;
96608
96609
96610
96611
96612
96613
96614
96615
96616
96617
96618
96619
96620
96621
96622
96623
96624
96625
96626
96627
96628
96629
96630
96631
96632
96633
96634
96635
96636
96637
96638
96639
96640
96641
96642
96643
96644
96645function integer clogb2;
96646input [31:0] value;
96647begin
96648   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
96649        value = value >> 1;
96650end
96651endfunction
96652
96653function integer clogb2_v1;
96654input [31:0] value;
96655reg   [31:0] i;
96656reg   [31:0] temp;
96657begin
96658   temp = 0;
96659   i    = 0;
96660   for (i = 0; temp < value; i = i + 1)
96661	temp = 1<<i;
96662   clogb2_v1 = i-1;
96663end
96664endfunction
96665
96666
96667
96668
96669
96670
96671
96672
96673
96674assign op_add    = instruction[ 30:26] ==  5'b01101;
96675assign op_and    = instruction[ 30:26] ==  5'b01000;
96676assign op_andhi  = instruction[ 31:26] ==  6'b011000;
96677assign op_b      = instruction[ 31:26] ==  6'b110000;
96678assign op_bi     = instruction[ 31:26] ==  6'b111000;
96679assign op_be     = instruction[ 31:26] ==  6'b010001;
96680assign op_bg     = instruction[ 31:26] ==  6'b010010;
96681assign op_bge    = instruction[ 31:26] ==  6'b010011;
96682assign op_bgeu   = instruction[ 31:26] ==  6'b010100;
96683assign op_bgu    = instruction[ 31:26] ==  6'b010101;
96684assign op_bne    = instruction[ 31:26] ==  6'b010111;
96685assign op_call   = instruction[ 31:26] ==  6'b110110;
96686assign op_calli  = instruction[ 31:26] ==  6'b111110;
96687assign op_cmpe   = instruction[ 30:26] ==  5'b11001;
96688assign op_cmpg   = instruction[ 30:26] ==  5'b11010;
96689assign op_cmpge  = instruction[ 30:26] ==  5'b11011;
96690assign op_cmpgeu = instruction[ 30:26] ==  5'b11100;
96691assign op_cmpgu  = instruction[ 30:26] ==  5'b11101;
96692assign op_cmpne  = instruction[ 30:26] ==  5'b11111;
96693
96694
96695assign op_divu   = instruction[ 31:26] ==  6'b100011;
96696
96697
96698assign op_lb     = instruction[ 31:26] ==  6'b000100;
96699assign op_lbu    = instruction[ 31:26] ==  6'b010000;
96700assign op_lh     = instruction[ 31:26] ==  6'b000111;
96701assign op_lhu    = instruction[ 31:26] ==  6'b001011;
96702assign op_lw     = instruction[ 31:26] ==  6'b001010;
96703
96704
96705assign op_modu   = instruction[ 31:26] ==  6'b110001;
96706
96707
96708
96709
96710assign op_mul    = instruction[ 30:26] ==  5'b00010;
96711
96712
96713assign op_nor    = instruction[ 30:26] ==  5'b00001;
96714assign op_or     = instruction[ 30:26] ==  5'b01110;
96715assign op_orhi   = instruction[ 31:26] ==  6'b011110;
96716assign op_raise  = instruction[ 31:26] ==  6'b101011;
96717assign op_rcsr   = instruction[ 31:26] ==  6'b100100;
96718assign op_sb     = instruction[ 31:26] ==  6'b001100;
96719
96720
96721assign op_sextb  = instruction[ 31:26] ==  6'b101100;
96722assign op_sexth  = instruction[ 31:26] ==  6'b110111;
96723
96724
96725assign op_sh     = instruction[ 31:26] ==  6'b000011;
96726
96727
96728assign op_sl     = instruction[ 30:26] ==  5'b01111;
96729
96730
96731assign op_sr     = instruction[ 30:26] ==  5'b00101;
96732assign op_sru    = instruction[ 30:26] ==  5'b00000;
96733assign op_sub    = instruction[ 31:26] ==  6'b110010;
96734assign op_sw     = instruction[ 31:26] ==  6'b010110;
96735
96736
96737
96738
96739assign op_wcsr   = instruction[ 31:26] ==  6'b110100;
96740assign op_xnor   = instruction[ 30:26] ==  5'b01001;
96741assign op_xor    = instruction[ 30:26] ==  5'b00110;
96742
96743
96744assign arith = op_add | op_sub;
96745assign logical = op_and | op_andhi | op_nor | op_or | op_orhi | op_xor | op_xnor;
96746assign cmp = op_cmpe | op_cmpg | op_cmpge | op_cmpgeu | op_cmpgu | op_cmpne;
96747assign bi_conditional = op_be | op_bg | op_bge | op_bgeu  | op_bgu | op_bne;
96748assign bi_unconditional = op_bi;
96749assign bra = op_b | bi_unconditional | bi_conditional;
96750assign call = op_call | op_calli;
96751
96752
96753assign shift = op_sl | op_sr | op_sru;
96754
96755
96756
96757
96758
96759
96760
96761
96762
96763
96764
96765
96766
96767assign sext = op_sextb | op_sexth;
96768
96769
96770
96771
96772
96773
96774
96775
96776assign divide = op_divu;
96777assign modulus = op_modu;
96778
96779
96780assign load = op_lb | op_lbu | op_lh | op_lhu | op_lw;
96781assign store = op_sb | op_sh | op_sw;
96782
96783
96784always @(*)
96785begin
96786
96787    if (call)
96788        d_result_sel_0 =  1'b1;
96789    else
96790        d_result_sel_0 =  1'b0;
96791    if (call)
96792        d_result_sel_1 =  2'b00;
96793    else if ((instruction[31] == 1'b0) && !bra)
96794        d_result_sel_1 =  2'b10;
96795    else
96796        d_result_sel_1 =  2'b01;
96797
96798    x_result_sel_csr =  1'b0;
96799
96800
96801    x_result_sel_mc_arith =  1'b0;
96802
96803
96804
96805
96806
96807
96808
96809
96810    x_result_sel_sext =  1'b0;
96811
96812
96813    x_result_sel_logic =  1'b0;
96814
96815
96816
96817
96818    x_result_sel_add =  1'b0;
96819    if (op_rcsr)
96820        x_result_sel_csr =  1'b1;
96821
96822
96823
96824
96825
96826
96827
96828
96829
96830    else if (divide | modulus)
96831        x_result_sel_mc_arith =  1'b1;
96832
96833
96834
96835
96836
96837
96838
96839
96840
96841
96842
96843
96844
96845
96846
96847
96848    else if (sext)
96849        x_result_sel_sext =  1'b1;
96850
96851
96852    else if (logical)
96853        x_result_sel_logic =  1'b1;
96854
96855
96856
96857
96858
96859    else
96860        x_result_sel_add =  1'b1;
96861
96862
96863
96864    m_result_sel_compare = cmp;
96865
96866
96867    m_result_sel_shift = shift;
96868
96869
96870
96871
96872    w_result_sel_load = load;
96873
96874
96875    w_result_sel_mul = op_mul;
96876
96877
96878end
96879
96880
96881assign x_bypass_enable =  arith
96882                        | logical
96883
96884
96885
96886
96887
96888
96889
96890
96891
96892
96893
96894                        | divide
96895                        | modulus
96896
96897
96898
96899
96900
96901
96902
96903
96904                        | sext
96905
96906
96907
96908
96909
96910
96911                        | op_rcsr
96912                        ;
96913
96914assign m_bypass_enable = x_bypass_enable
96915
96916
96917                        | shift
96918
96919
96920                        | cmp
96921                        ;
96922
96923assign read_enable_0 = ~(op_bi | op_calli);
96924assign read_idx_0 = instruction[25:21];
96925
96926assign read_enable_1 = ~(op_bi | op_calli | load);
96927assign read_idx_1 = instruction[20:16];
96928
96929assign write_enable = ~(bra | op_raise | store | op_wcsr);
96930assign write_idx = call
96931                    ? 5'd29
96932                    : instruction[31] == 1'b0
96933                        ? instruction[20:16]
96934                        : instruction[15:11];
96935
96936
96937assign size = instruction[27:26];
96938
96939assign sign_extend = instruction[28];
96940
96941assign adder_op = op_sub | op_cmpe | op_cmpg | op_cmpge | op_cmpgeu | op_cmpgu | op_cmpne | bra;
96942
96943assign logic_op = instruction[29:26];
96944
96945
96946
96947assign direction = instruction[29];
96948
96949
96950
96951assign branch = bra | call;
96952assign branch_reg = op_call | op_b;
96953assign condition = instruction[28:26];
96954
96955
96956assign break_opcode = op_raise & ~instruction[2];
96957
96958
96959assign scall = op_raise & instruction[2];
96960assign eret = op_b & (instruction[25:21] == 5'd30);
96961
96962
96963assign bret = op_b & (instruction[25:21] == 5'd31);
96964
96965
96966
96967
96968
96969
96970
96971
96972assign csr_write_enable = op_wcsr;
96973
96974
96975
96976assign sign_extend_immediate = ~(op_and | op_cmpgeu | op_cmpgu | op_nor | op_or | op_xnor | op_xor);
96977assign select_high_immediate = op_andhi | op_orhi;
96978assign select_call_immediate = instruction[31];
96979
96980assign high_immediate = {instruction[15:0], 16'h0000};
96981assign extended_immediate = {{16{sign_extend_immediate & instruction[15]}}, instruction[15:0]};
96982assign call_immediate = {{6{instruction[25]}}, instruction[25:0]};
96983assign branch_immediate = {{16{instruction[15]}}, instruction[15:0]};
96984
96985assign immediate = select_high_immediate ==  1'b1
96986                        ? high_immediate
96987                        : extended_immediate;
96988
96989assign branch_offset = select_call_immediate ==  1'b1
96990                        ? (call_immediate[ (32-2)-1:0])
96991                        : (branch_immediate[ (32-2)-1:0]);
96992
96993endmodule
96994
96995
96996
96997
96998
96999
97000
97001
97002
97003
97004
97005
97006
97007
97008
97009
97010
97011
97012
97013
97014
97015
97016
97017
97018
97019
97020
97021
97022
97023
97024
97025
97026
97027
97028
97029
97030
97031
97032
97033
97034
97035
97036
97037
97038
97039
97040
97041
97042
97043
97044
97045
97046
97047
97048
97049
97050
97051
97052
97053
97054
97055
97056
97057
97058
97059
97060
97061
97062
97063
97064
97065
97066
97067
97068
97069
97070
97071
97072
97073
97074
97075
97076
97077
97078
97079
97080
97081
97082
97083
97084
97085
97086
97087
97088
97089
97090
97091
97092
97093
97094
97095
97096
97097
97098
97099
97100
97101
97102
97103
97104
97105
97106
97107
97108
97109
97110
97111
97112
97113
97114
97115
97116
97117
97118
97119
97120
97121
97122
97123
97124
97125
97126
97127
97128
97129
97130
97131
97132
97133
97134
97135
97136
97137
97138
97139
97140
97141
97142
97143
97144
97145
97146
97147
97148
97149
97150
97151
97152
97153
97154
97155
97156
97157
97158
97159
97160
97161
97162
97163
97164
97165
97166
97167
97168
97169
97170
97171
97172
97173
97174
97175
97176
97177
97178
97179
97180
97181
97182
97183
97184
97185
97186
97187
97188
97189
97190
97191
97192
97193
97194
97195
97196
97197
97198
97199
97200
97201
97202
97203
97204
97205
97206
97207
97208
97209
97210
97211
97212
97213
97214
97215
97216
97217
97218
97219
97220
97221
97222
97223
97224
97225
97226
97227
97228
97229
97230
97231
97232
97233
97234
97235
97236
97237
97238
97239
97240
97241
97242
97243
97244
97245
97246
97247
97248
97249
97250
97251
97252
97253
97254
97255
97256
97257
97258
97259
97260
97261
97262
97263
97264
97265
97266
97267
97268
97269
97270
97271
97272
97273
97274
97275
97276
97277
97278
97279
97280
97281
97282
97283
97284
97285
97286
97287
97288
97289
97290
97291
97292
97293
97294
97295
97296
97297
97298
97299
97300
97301
97302
97303
97304
97305
97306
97307
97308
97309
97310
97311
97312
97313
97314
97315
97316
97317
97318
97319
97320
97321
97322
97323
97324
97325
97326
97327
97328
97329
97330
97331
97332
97333
97334
97335
97336
97337
97338
97339
97340
97341
97342
97343
97344
97345
97346
97347
97348
97349
97350
97351
97352
97353
97354
97355
97356
97357
97358
97359
97360
97361
97362
97363
97364
97365
97366
97367
97368
97369
97370
97371
97372
97373
97374
97375
97376
97377
97378
97379
97380
97381
97382
97383
97384
97385
97386
97387
97388
97389
97390
97391
97392
97393
97394
97395
97396
97397
97398
97399
97400
97401
97402
97403
97404
97405
97406
97407
97408
97409
97410
97411
97412
97413
97414
97415
97416
97417
97418
97419
97420
97421
97422
97423
97424
97425
97426
97427
97428
97429
97430
97431
97432
97433
97434
97435
97436
97437
97438
97439
97440
97441
97442
97443
97444
97445
97446
97447
97448
97449
97450
97451
97452
97453
97454
97455
97456
97457
97458
97459
97460
97461
97462
97463
97464
97465
97466
97467
97468
97469
97470
97471
97472
97473
97474
97475
97476
97477
97478
97479
97480
97481
97482
97483
97484
97485
97486
97487
97488
97489
97490
97491
97492
97493
97494
97495
97496
97497
97498
97499
97500
97501
97502
97503
97504
97505
97506
97507
97508
97509
97510
97511
97512
97513
97514
97515
97516
97517
97518
97519
97520
97521
97522
97523
97524
97525
97526
97527
97528
97529
97530
97531
97532
97533
97534
97535
97536
97537
97538
97539
97540
97541
97542
97543
97544
97545
97546
97547
97548
97549
97550
97551
97552
97553
97554
97555
97556
97557
97558
97559
97560
97561
97562
97563
97564
97565
97566
97567
97568
97569
97570
97571
97572
97573
97574
97575
97576
97577
97578
97579
97580
97581
97582
97583
97584
97585
97586
97587
97588
97589
97590
97591
97592
97593
97594
97595
97596
97597
97598
97599
97600
97601
97602
97603
97604
97605
97606
97607
97608
97609
97610
97611
97612
97613
97614
97615
97616
97617
97618
97619
97620
97621
97622
97623
97624
97625
97626
97627
97628
97629
97630
97631
97632
97633
97634
97635
97636
97637
97638
97639
97640
97641
97642
97643
97644
97645
97646
97647
97648
97649
97650
97651
97652
97653
97654
97655
97656
97657
97658
97659
97660
97661
97662
97663
97664
97665
97666
97667
97668
97669
97670
97671
97672
97673
97674
97675
97676
97677
97678
97679
97680
97681
97682
97683
97684
97685
97686
97687
97688
97689
97690
97691
97692
97693
97694
97695
97696
97697
97698
97699
97700
97701
97702
97703
97704
97705
97706
97707
97708
97709
97710
97711
97712
97713
97714
97715
97716
97717
97718
97719
97720
97721
97722
97723
97724
97725
97726
97727
97728
97729
97730
97731
97732
97733
97734
97735
97736
97737
97738
97739
97740
97741
97742
97743
97744
97745
97746
97747
97748
97749
97750
97751
97752
97753
97754
97755
97756
97757
97758
97759
97760
97761
97762
97763
97764
97765
97766
97767
97768
97769
97770
97771
97772
97773
97774
97775
97776
97777
97778
97779
97780
97781
97782
97783
97784
97785
97786
97787
97788
97789
97790
97791
97792
97793
97794
97795
97796
97797
97798
97799
97800
97801
97802
97803
97804
97805
97806
97807
97808
97809
97810
97811
97812
97813
97814
97815
97816
97817
97818
97819
97820
97821
97822
97823
97824
97825
97826
97827
97828
97829
97830
97831
97832
97833
97834
97835
97836
97837
97838
97839
97840
97841
97842
97843
97844
97845
97846
97847
97848
97849
97850
97851
97852
97853
97854
97855
97856
97857
97858
97859
97860
97861
97862
97863
97864
97865
97866
97867
97868
97869
97870
97871
97872
97873
97874
97875
97876
97877
97878
97879
97880
97881
97882
97883
97884
97885
97886
97887
97888
97889
97890
97891
97892
97893
97894
97895
97896
97897
97898
97899
97900
97901
97902
97903
97904
97905
97906
97907
97908
97909
97910
97911
97912
97913
97914
97915
97916
97917
97918
97919
97920
97921
97922
97923
97924
97925
97926
97927
97928
97929
97930
97931
97932
97933
97934
97935
97936
97937
97938
97939
97940
97941
97942
97943
97944
97945
97946
97947
97948
97949
97950
97951
97952
97953
97954
97955
97956
97957
97958
97959
97960
97961
97962
97963
97964
97965
97966
97967
97968
97969
97970
97971
97972
97973
97974
97975
97976
97977
97978
97979
97980
97981
97982
97983
97984
97985
97986
97987
97988
97989
97990
97991
97992
97993
97994
97995
97996
97997
97998
97999
98000
98001
98002
98003
98004
98005
98006
98007
98008
98009
98010
98011
98012
98013
98014
98015
98016
98017
98018
98019
98020
98021
98022
98023
98024
98025
98026
98027
98028
98029
98030
98031
98032
98033
98034
98035
98036
98037
98038
98039
98040
98041
98042
98043
98044
98045
98046
98047
98048
98049
98050
98051
98052
98053
98054
98055
98056
98057
98058
98059
98060
98061
98062
98063
98064
98065
98066
98067
98068
98069
98070
98071
98072
98073
98074
98075
98076
98077
98078
98079
98080
98081
98082
98083
98084
98085
98086
98087
98088
98089
98090
98091
98092
98093
98094
98095
98096
98097
98098
98099
98100
98101
98102
98103
98104
98105
98106
98107
98108
98109
98110
98111
98112
98113
98114
98115
98116
98117
98118
98119
98120
98121
98122
98123
98124
98125
98126
98127
98128
98129
98130
98131
98132
98133
98134
98135
98136
98137
98138
98139
98140
98141
98142
98143
98144
98145
98146
98147
98148
98149
98150
98151
98152
98153
98154
98155
98156
98157
98158
98159
98160
98161
98162
98163
98164
98165
98166
98167
98168
98169
98170
98171
98172
98173
98174
98175
98176
98177
98178
98179
98180
98181
98182
98183
98184
98185
98186
98187
98188
98189
98190
98191
98192
98193
98194
98195
98196
98197
98198
98199
98200
98201
98202
98203
98204
98205
98206
98207
98208
98209
98210
98211
98212
98213
98214
98215
98216
98217
98218
98219
98220
98221
98222
98223
98224
98225
98226
98227
98228
98229
98230
98231
98232
98233
98234
98235
98236
98237
98238
98239
98240
98241
98242
98243
98244
98245
98246
98247
98248
98249
98250
98251
98252
98253
98254
98255
98256
98257
98258
98259
98260
98261
98262
98263
98264
98265
98266
98267
98268
98269
98270
98271
98272
98273
98274
98275
98276
98277
98278
98279
98280
98281
98282
98283
98284
98285
98286
98287
98288
98289
98290
98291
98292
98293
98294
98295
98296
98297
98298
98299
98300
98301
98302
98303
98304
98305
98306
98307
98308
98309
98310
98311
98312
98313
98314
98315
98316
98317
98318
98319
98320
98321
98322
98323
98324
98325
98326
98327
98328
98329
98330
98331
98332
98333
98334
98335
98336
98337
98338
98339
98340
98341
98342
98343
98344
98345
98346
98347
98348
98349
98350
98351
98352
98353
98354
98355
98356
98357
98358
98359
98360
98361
98362
98363
98364
98365
98366
98367
98368
98369
98370
98371
98372
98373
98374
98375
98376
98377
98378
98379
98380
98381
98382
98383
98384
98385
98386
98387
98388
98389
98390
98391
98392
98393
98394
98395
98396
98397
98398
98399
98400
98401
98402
98403
98404
98405
98406
98407
98408
98409
98410
98411
98412
98413
98414
98415
98416
98417
98418
98419
98420
98421
98422
98423
98424
98425
98426
98427
98428
98429
98430
98431
98432
98433
98434
98435
98436
98437
98438
98439
98440
98441
98442
98443
98444
98445
98446
98447
98448
98449
98450
98451
98452
98453
98454
98455
98456
98457
98458
98459
98460
98461
98462
98463
98464
98465
98466
98467
98468
98469
98470
98471
98472
98473
98474
98475
98476
98477
98478
98479
98480
98481
98482
98483
98484
98485
98486
98487
98488
98489
98490
98491
98492
98493
98494
98495
98496
98497
98498
98499
98500
98501
98502
98503
98504
98505
98506
98507
98508
98509
98510
98511
98512
98513
98514
98515
98516
98517
98518
98519
98520
98521
98522
98523
98524
98525
98526
98527
98528
98529
98530
98531
98532
98533
98534
98535
98536
98537
98538
98539
98540
98541
98542
98543
98544
98545
98546
98547
98548
98549
98550
98551
98552
98553
98554
98555
98556
98557
98558
98559
98560
98561
98562
98563
98564
98565
98566
98567
98568
98569
98570
98571
98572
98573
98574
98575
98576
98577
98578
98579
98580
98581
98582
98583
98584
98585
98586
98587
98588
98589
98590
98591
98592
98593
98594
98595
98596
98597
98598
98599
98600
98601
98602
98603
98604
98605
98606
98607
98608
98609
98610
98611
98612
98613
98614
98615
98616
98617
98618
98619
98620
98621
98622
98623
98624
98625
98626
98627
98628
98629
98630
98631
98632
98633
98634
98635
98636
98637
98638
98639
98640
98641
98642
98643
98644
98645
98646
98647
98648
98649
98650
98651
98652
98653
98654
98655
98656
98657
98658
98659
98660
98661
98662
98663
98664
98665
98666
98667
98668
98669
98670
98671
98672
98673
98674
98675
98676
98677
98678
98679
98680
98681
98682
98683
98684
98685
98686
98687
98688
98689
98690
98691
98692
98693
98694
98695
98696
98697
98698
98699
98700
98701
98702
98703
98704
98705
98706
98707
98708
98709
98710
98711
98712
98713
98714
98715
98716
98717
98718
98719
98720
98721
98722
98723
98724
98725
98726
98727
98728
98729
98730
98731
98732
98733
98734
98735
98736
98737
98738
98739
98740
98741
98742
98743
98744
98745
98746
98747
98748
98749
98750
98751
98752
98753
98754
98755
98756
98757
98758
98759
98760
98761
98762
98763
98764
98765
98766
98767
98768
98769
98770
98771
98772
98773
98774
98775
98776
98777
98778
98779
98780
98781
98782
98783
98784
98785
98786
98787
98788
98789
98790
98791
98792
98793
98794
98795
98796
98797
98798
98799
98800
98801
98802
98803
98804
98805
98806
98807
98808
98809
98810
98811
98812
98813
98814
98815
98816
98817
98818
98819
98820
98821
98822
98823
98824
98825
98826
98827
98828
98829
98830
98831
98832
98833
98834
98835
98836
98837
98838
98839
98840
98841
98842
98843
98844
98845
98846
98847
98848
98849
98850
98851
98852
98853
98854
98855
98856
98857
98858
98859
98860
98861
98862
98863
98864
98865
98866
98867
98868
98869
98870
98871
98872
98873
98874
98875
98876
98877
98878
98879
98880
98881
98882
98883
98884
98885
98886
98887
98888
98889
98890
98891
98892
98893
98894
98895
98896
98897
98898
98899
98900
98901
98902
98903
98904
98905
98906
98907
98908
98909
98910
98911
98912
98913
98914
98915
98916
98917
98918
98919
98920
98921
98922
98923
98924
98925
98926
98927
98928
98929
98930
98931
98932
98933
98934
98935
98936
98937
98938
98939
98940
98941
98942
98943
98944
98945
98946
98947
98948
98949
98950
98951
98952
98953
98954
98955
98956
98957
98958
98959
98960
98961
98962
98963
98964
98965
98966
98967
98968
98969
98970
98971
98972
98973
98974
98975
98976
98977
98978
98979
98980
98981
98982
98983
98984
98985
98986
98987
98988
98989
98990
98991
98992
98993
98994
98995module lm32_debug_wr_node (
98996
98997    clk_i,
98998    rst_i,
98999    pc_x,
99000    load_x,
99001    store_x,
99002    load_store_address_x,
99003    csr_write_enable_x,
99004    csr_write_data,
99005    csr_x,
99006
99007
99008
99009
99010
99011
99012
99013
99014
99015
99016   dbg_csr_write_enable_i,
99017   dbg_csr_write_data_i,
99018   dbg_csr_addr_i,
99019
99020
99021
99022
99023
99024
99025
99026
99027    eret_q_x,
99028    bret_q_x,
99029    stall_x,
99030    exception_x,
99031    q_x,
99032
99033
99034
99035
99036
99037
99038
99039
99040
99041
99042    dc_ss,
99043
99044
99045    dc_re,
99046    bp_match,
99047    wp_match
99048    );
99049
99050
99051
99052
99053
99054parameter breakpoints = 0;
99055parameter watchpoints = 0;
99056
99057
99058
99059
99060
99061input clk_i;
99062input rst_i;
99063
99064input [ ((32-2)+2-1):2] pc_x;
99065input load_x;
99066input store_x;
99067input [ (32-1):0] load_store_address_x;
99068input csr_write_enable_x;
99069input [ (32-1):0] csr_write_data;
99070input [ (5-1):0] csr_x;
99071
99072
99073
99074
99075
99076
99077
99078
99079
99080
99081input dbg_csr_write_enable_i;
99082input [ (32-1):0] dbg_csr_write_data_i;
99083input [ (5-1):0] dbg_csr_addr_i;
99084
99085
99086
99087
99088
99089
99090
99091
99092input eret_q_x;
99093input bret_q_x;
99094input stall_x;
99095input exception_x;
99096input q_x;
99097
99098
99099
99100
99101
99102
99103
99104
99105
99106
99107
99108
99109
99110output dc_ss;
99111reg    dc_ss;
99112
99113
99114output dc_re;
99115reg    dc_re;
99116output bp_match;
99117wire   bp_match;
99118output wp_match;
99119wire   wp_match;
99120
99121
99122
99123
99124
99125genvar i;
99126
99127
99128
99129reg [ ((32-2)+2-1):2] bp_a[0:breakpoints-1];
99130reg bp_e[0:breakpoints-1];
99131wire [0:breakpoints-1]bp_match_n;
99132
99133reg [ 1:0] wpc_c[0:watchpoints-1];
99134reg [ (32-1):0] wp[0:watchpoints-1];
99135wire [0:watchpoints-1]wp_match_n;
99136
99137wire debug_csr_write_enable;
99138wire [ (32-1):0] debug_csr_write_data;
99139wire [ (5-1):0] debug_csr;
99140
99141
99142
99143
99144reg [ 2:0] state;
99145
99146
99147
99148
99149
99150
99151
99152
99153
99154
99155
99156
99157
99158
99159
99160
99161
99162
99163
99164
99165
99166
99167
99168
99169
99170
99171
99172
99173
99174
99175
99176
99177
99178
99179
99180
99181
99182
99183function integer clogb2;
99184input [31:0] value;
99185begin
99186   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
99187        value = value >> 1;
99188end
99189endfunction
99190
99191function integer clogb2_v1;
99192input [31:0] value;
99193reg   [31:0] i;
99194reg   [31:0] temp;
99195begin
99196   temp = 0;
99197   i    = 0;
99198   for (i = 0; temp < value; i = i + 1)
99199	temp = 1<<i;
99200   clogb2_v1 = i-1;
99201end
99202endfunction
99203
99204
99205
99206
99207
99208
99209
99210
99211
99212generate
99213    for (i = 0; i < breakpoints; i = i + 1)
99214    begin : bp_comb
99215assign bp_match_n[i] = ((bp_a[i] == pc_x) && (bp_e[i] ==  1'b1));
99216    end
99217endgenerate
99218generate
99219
99220
99221    if (breakpoints > 0)
99222assign bp_match = (|bp_match_n) || (state ==  3'b011);
99223    else
99224assign bp_match = state ==  3'b011;
99225
99226
99227
99228
99229
99230
99231
99232endgenerate
99233
99234
99235generate
99236    for (i = 0; i < watchpoints; i = i + 1)
99237    begin : wp_comb
99238assign wp_match_n[i] = (wp[i] == load_store_address_x) && ((load_x & wpc_c[i][0]) | (store_x & wpc_c[i][1]));
99239    end
99240endgenerate
99241generate
99242    if (watchpoints > 0)
99243assign wp_match = |wp_match_n;
99244    else
99245assign wp_match =  1'b0;
99246endgenerate
99247
99248
99249
99250
99251
99252
99253
99254
99255
99256
99257
99258
99259
99260assign debug_csr_write_enable = (csr_write_enable_x ==  1'b1) || (dbg_csr_write_enable_i ==  1'b1);
99261assign debug_csr_write_data = dbg_csr_write_data_i ==  1'b1 ? dbg_csr_write_data_i : csr_write_data;
99262assign debug_csr = dbg_csr_write_enable_i ==  1'b1 ? dbg_csr_addr_i : csr_x;
99263
99264
99265
99266
99267
99268
99269
99270
99271
99272
99273
99274
99275
99276
99277
99278
99279generate
99280    for (i = 0; i < breakpoints; i = i + 1)
99281    begin : bp_seq
99282always @(posedge clk_i  )
99283begin
99284    if (rst_i ==  1'b1)
99285    begin
99286        bp_a[i] <= { (32-2){1'bx}};
99287        bp_e[i] <=  1'b0;
99288    end
99289    else
99290    begin
99291        if ((debug_csr_write_enable ==  1'b1) && (debug_csr ==  5'h10 + i))
99292        begin
99293            bp_a[i] <= debug_csr_write_data[ ((32-2)+2-1):2];
99294            bp_e[i] <= debug_csr_write_data[0];
99295        end
99296    end
99297end
99298    end
99299endgenerate
99300
99301
99302generate
99303    for (i = 0; i < watchpoints; i = i + 1)
99304    begin : wp_seq
99305always @(posedge clk_i  )
99306begin
99307    if (rst_i ==  1'b1)
99308    begin
99309        wp[i] <= { 32{1'bx}};
99310        wpc_c[i] <=  2'b00;
99311    end
99312    else
99313    begin
99314        if (debug_csr_write_enable ==  1'b1)
99315        begin
99316            if (debug_csr ==  5'h8)
99317                wpc_c[i] <= debug_csr_write_data[3+i*2:2+i*2];
99318            if (debug_csr ==  5'h18 + i)
99319                wp[i] <= debug_csr_write_data;
99320        end
99321    end
99322end
99323    end
99324endgenerate
99325
99326
99327always @(posedge clk_i  )
99328begin
99329    if (rst_i ==  1'b1)
99330        dc_re <=  1'b0;
99331    else
99332    begin
99333        if ((debug_csr_write_enable ==  1'b1) && (debug_csr ==  5'h8))
99334            dc_re <= debug_csr_write_data[1];
99335    end
99336end
99337
99338
99339
99340
99341always @(posedge clk_i  )
99342begin
99343    if (rst_i ==  1'b1)
99344    begin
99345        state <=  3'b000;
99346        dc_ss <=  1'b0;
99347    end
99348    else
99349    begin
99350        if ((debug_csr_write_enable ==  1'b1) && (debug_csr ==  5'h8))
99351        begin
99352            dc_ss <= debug_csr_write_data[0];
99353            if (debug_csr_write_data[0] ==  1'b0)
99354                state <=  3'b000;
99355            else
99356                state <=  3'b001;
99357        end
99358        case (state)
99359         3'b001:
99360        begin
99361
99362            if (   (   (eret_q_x ==  1'b1)
99363                    || (bret_q_x ==  1'b1)
99364                    )
99365                && (stall_x ==  1'b0)
99366               )
99367                state <=  3'b010;
99368        end
99369         3'b010:
99370        begin
99371
99372            if ((q_x ==  1'b1) && (stall_x ==  1'b0))
99373                state <=  3'b011;
99374        end
99375         3'b011:
99376        begin
99377
99378
99379
99380
99381
99382
99383
99384                 if ((exception_x ==  1'b1) && (q_x ==  1'b1) && (stall_x ==  1'b0))
99385            begin
99386                dc_ss <=  1'b0;
99387                state <=  3'b100;
99388            end
99389        end
99390         3'b100:
99391        begin
99392
99393
99394
99395
99396
99397
99398
99399                state <=  3'b000;
99400        end
99401        endcase
99402    end
99403end
99404
99405
99406
99407endmodule
99408
99409
99410
99411
99412
99413
99414
99415
99416
99417
99418
99419
99420
99421
99422
99423
99424
99425
99426
99427
99428
99429
99430
99431
99432
99433
99434
99435
99436
99437
99438
99439
99440
99441
99442
99443
99444
99445
99446
99447
99448
99449
99450
99451
99452
99453
99454
99455
99456
99457
99458
99459
99460
99461
99462
99463
99464
99465
99466
99467
99468
99469
99470
99471
99472
99473
99474
99475
99476
99477
99478
99479
99480
99481
99482
99483
99484
99485
99486
99487
99488
99489
99490
99491
99492
99493
99494
99495
99496
99497
99498
99499
99500
99501
99502
99503
99504
99505
99506
99507
99508
99509
99510
99511
99512
99513
99514
99515
99516
99517
99518
99519
99520
99521
99522
99523
99524
99525
99526
99527
99528
99529
99530
99531
99532
99533
99534
99535
99536
99537
99538
99539
99540
99541
99542
99543
99544
99545
99546
99547
99548
99549
99550
99551
99552
99553
99554
99555
99556
99557
99558
99559
99560
99561
99562
99563
99564
99565
99566
99567
99568
99569
99570
99571
99572
99573
99574
99575
99576
99577
99578
99579
99580
99581
99582
99583
99584
99585
99586
99587
99588
99589
99590
99591
99592
99593
99594
99595
99596
99597
99598
99599
99600
99601
99602
99603
99604
99605
99606
99607
99608
99609
99610
99611
99612
99613
99614
99615
99616
99617
99618
99619
99620
99621
99622
99623
99624
99625
99626
99627
99628
99629
99630
99631
99632
99633
99634
99635
99636
99637
99638
99639
99640
99641
99642
99643
99644
99645
99646
99647
99648
99649
99650
99651
99652
99653
99654
99655
99656
99657
99658
99659
99660
99661
99662
99663
99664
99665
99666
99667
99668
99669
99670
99671
99672
99673
99674
99675
99676
99677
99678
99679
99680
99681
99682
99683
99684
99685
99686
99687
99688
99689
99690
99691
99692
99693
99694
99695
99696
99697
99698
99699
99700
99701
99702
99703
99704
99705
99706
99707
99708
99709
99710
99711
99712
99713
99714
99715
99716
99717
99718
99719
99720
99721
99722
99723
99724
99725
99726
99727
99728
99729
99730
99731
99732
99733
99734
99735
99736
99737
99738
99739
99740
99741
99742
99743
99744
99745
99746
99747
99748
99749
99750
99751
99752
99753
99754
99755
99756
99757
99758
99759
99760
99761
99762
99763
99764
99765
99766
99767
99768
99769
99770
99771
99772
99773
99774
99775
99776
99777
99778
99779
99780
99781
99782
99783
99784
99785
99786
99787
99788
99789module lm32_instruction_unit_wr_node (
99790
99791    clk_i,
99792    rst_i,
99793
99794    stall_a,
99795    stall_f,
99796    stall_d,
99797    stall_x,
99798    stall_m,
99799    valid_f,
99800    valid_d,
99801    kill_f,
99802    branch_predict_taken_d,
99803    branch_predict_address_d,
99804
99805
99806
99807
99808
99809    exception_m,
99810    branch_taken_m,
99811    branch_mispredict_taken_m,
99812    branch_target_m,
99813
99814
99815
99816
99817
99818
99819
99820
99821
99822
99823
99824
99825
99826
99827
99828
99829
99830
99831
99832
99833    jtag_read_enable,
99834    jtag_write_enable,
99835    jtag_write_data,
99836    jtag_address,
99837
99838
99839
99840
99841    pc_f,
99842    pc_d,
99843    pc_x,
99844    pc_m,
99845    pc_w,
99846
99847
99848
99849
99850
99851
99852
99853
99854
99855
99856
99857
99858
99859
99860
99861
99862
99863
99864
99865
99866
99867
99868    iram_i_adr_o,
99869    iram_i_dat_i,
99870    iram_i_en_o,
99871
99872
99873
99874
99875    jtag_read_data,
99876    jtag_access_complete,
99877
99878
99879
99880
99881    bus_error_d,
99882
99883
99884
99885
99886    instruction_f,
99887
99888
99889    instruction_d
99890    );
99891
99892
99893
99894
99895
99896parameter eba_reset =  32'h00000000;
99897parameter associativity = 1;
99898parameter sets = 512;
99899parameter bytes_per_line = 16;
99900parameter base_address = 0;
99901parameter limit = 0;
99902
99903
99904localparam eba_reset_minus_4 = eba_reset - 4;
99905localparam addr_offset_width = bytes_per_line == 4 ? 1 : clogb2(bytes_per_line)-1-2;
99906localparam addr_offset_lsb = 2;
99907localparam addr_offset_msb = (addr_offset_lsb+addr_offset_width-1);
99908
99909
99910
99911
99912
99913
99914output [31:0] iram_i_adr_o;
99915input [31:0]  iram_i_dat_i;
99916   output     iram_i_en_o;
99917
99918
99919
99920
99921input clk_i;
99922input rst_i;
99923
99924input stall_a;
99925input stall_f;
99926input stall_d;
99927input stall_x;
99928input stall_m;
99929input valid_f;
99930input valid_d;
99931input kill_f;
99932
99933input branch_predict_taken_d;
99934input [ ((32-2)+2-1):2] branch_predict_address_d;
99935
99936
99937
99938
99939
99940
99941input exception_m;
99942input branch_taken_m;
99943input branch_mispredict_taken_m;
99944input [ ((32-2)+2-1):2] branch_target_m;
99945
99946
99947
99948
99949
99950
99951
99952
99953
99954
99955
99956
99957
99958
99959
99960
99961
99962
99963
99964
99965
99966
99967
99968input jtag_read_enable;
99969input jtag_write_enable;
99970input [ 7:0] jtag_write_data;
99971input [ (32-1):0] jtag_address;
99972
99973
99974
99975
99976
99977
99978
99979output [ ((32-2)+2-1):2] pc_f;
99980reg    [ ((32-2)+2-1):2] pc_f;
99981output [ ((32-2)+2-1):2] pc_d;
99982reg    [ ((32-2)+2-1):2] pc_d;
99983output [ ((32-2)+2-1):2] pc_x;
99984reg    [ ((32-2)+2-1):2] pc_x;
99985output [ ((32-2)+2-1):2] pc_m;
99986reg    [ ((32-2)+2-1):2] pc_m;
99987output [ ((32-2)+2-1):2] pc_w;
99988reg    [ ((32-2)+2-1):2] pc_w;
99989
99990
99991
99992
99993
99994
99995
99996
99997
99998
99999
100000
100001
100002
100003
100004
100005
100006
100007
100008
100009
100010
100011
100012
100013
100014
100015
100016
100017
100018
100019
100020
100021
100022
100023
100024
100025
100026
100027
100028
100029
100030
100031
100032
100033
100034
100035
100036
100037
100038output [ 7:0] jtag_read_data;
100039reg    [ 7:0] jtag_read_data;
100040output jtag_access_complete;
100041wire   jtag_access_complete;
100042
100043
100044
100045
100046
100047output bus_error_d;
100048reg    bus_error_d;
100049
100050
100051
100052
100053output [ (32-1):0] instruction_f;
100054wire   [ (32-1):0] instruction_f;
100055
100056
100057output [ (32-1):0] instruction_d;
100058reg    [ (32-1):0] instruction_d;
100059
100060
100061
100062
100063
100064reg [ ((32-2)+2-1):2] pc_a;
100065
100066
100067
100068
100069
100070
100071
100072
100073
100074
100075
100076
100077
100078
100079
100080
100081
100082
100083
100084
100085
100086
100087
100088
100089
100090
100091wire iram_select_a;
100092   reg 			     iram_select_f;
100093
100094
100095
100096
100097
100098
100099
100100
100101
100102
100103
100104
100105
100106
100107
100108
100109
100110
100111
100112
100113
100114   wire 		     bus_error_f = 0;
100115
100116
100117
100118
100119
100120reg jtag_access;
100121
100122
100123
100124
100125
100126
100127
100128
100129
100130
100131
100132
100133
100134
100135
100136
100137
100138
100139
100140
100141
100142
100143
100144
100145
100146
100147
100148
100149
100150
100151
100152
100153
100154
100155
100156
100157
100158function integer clogb2;
100159input [31:0] value;
100160begin
100161   for (clogb2 = 0; value > 0; clogb2 = clogb2 + 1)
100162        value = value >> 1;
100163end
100164endfunction
100165
100166function integer clogb2_v1;
100167input [31:0] value;
100168reg   [31:0] i;
100169reg   [31:0] temp;
100170begin
100171   temp = 0;
100172   i    = 0;
100173   for (i = 0; temp < value; i = i + 1)
100174	temp = 1<<i;
100175   clogb2_v1 = i-1;
100176end
100177endfunction
100178
100179
100180
100181
100182
100183
100184
100185
100186
100187
100188
100189
100190
100191
100192
100193
100194
100195
100196
100197
100198
100199
100200
100201
100202
100203
100204
100205
100206
100207
100208
100209
100210
100211
100212
100213
100214
100215
100216
100217
100218
100219
100220
100221
100222
100223
100224
100225
100226
100227
100228
100229
100230
100231
100232
100233
100234
100235
100236
100237
100238
100239always @(*)
100240begin
100241
100242
100243
100244
100245
100246
100247
100248      if (branch_taken_m ==  1'b1)
100249	if ((branch_mispredict_taken_m ==  1'b1) && (exception_m ==  1'b0))
100250	  pc_a = pc_x;
100251	else
100252          pc_a = branch_target_m;
100253
100254
100255
100256
100257
100258      else
100259	if ( (valid_d ==  1'b1) && (branch_predict_taken_d ==  1'b1) )
100260	  pc_a = branch_predict_address_d;
100261	else
100262
100263
100264
100265
100266
100267
100268            pc_a = pc_f + 1'b1;
100269end
100270
100271
100272
100273
100274   assign iram_select_a = 1'b1;
100275   assign iram_i_en_o = !stall_a;
100276   assign iram_i_adr_o = {pc_a, 2'b00};
100277
100278
100279
100280
100281
100282
100283   reg [31:0] prev_instruction_f;
100284   reg 	      iram_i_en_d0;
100285
100286   always@(posedge clk_i)
100287     if(rst_i) begin
100288	iram_i_en_d0 <= 0;
100289     end else begin
100290	iram_i_en_d0 <= !stall_a;
100291	if(iram_i_en_d0)
100292	  prev_instruction_f <= iram_i_dat_i;
100293     end
100294
100295
100296   assign instruction_f = (!iram_i_en_d0) ? prev_instruction_f : iram_i_dat_i;
100297
100298
100299
100300
100301
100302
100303
100304
100305
100306
100307
100308
100309
100310
100311
100312
100313
100314
100315
100316
100317
100318
100319
100320
100321
100322
100323
100324
100325
100326
100327
100328
100329
100330
100331
100332
100333
100334
100335
100336
100337
100338
100339
100340
100341
100342
100343
100344
100345
100346
100347
100348
100349
100350
100351
100352
100353
100354
100355
100356
100357
100358
100359
100360always @(posedge clk_i  )
100361begin
100362    if (rst_i ==  1'b1)
100363    begin
100364        pc_f <= eba_reset_minus_4[ ((32-2)+2-1):2];
100365        pc_d <= { (32-2){1'b0}};
100366        pc_x <= { (32-2){1'b0}};
100367        pc_m <= { (32-2){1'b0}};
100368        pc_w <= { (32-2){1'b0}};
100369    end
100370    else
100371    begin
100372        if (stall_f ==  1'b0)
100373            pc_f <= pc_a;
100374        if (stall_d ==  1'b0)
100375            pc_d <= pc_f;
100376        if (stall_x ==  1'b0)
100377            pc_x <= pc_d;
100378        if (stall_m ==  1'b0)
100379            pc_m <= pc_x;
100380        pc_w <= pc_m;
100381    end
100382end
100383
100384
100385
100386
100387
100388
100389
100390
100391
100392
100393
100394
100395
100396
100397
100398
100399
100400
100401
100402
100403
100404
100405
100406
100407
100408
100409
100410
100411
100412
100413
100414
100415
100416
100417always @(posedge clk_i  )
100418begin
100419    if (rst_i ==  1'b1)
100420        iram_select_f <=  1'b0;
100421    else
100422    begin
100423        if (stall_f ==  1'b0)
100424            iram_select_f <= iram_select_a;
100425    end
100426end
100427
100428
100429
100430
100431
100432
100433
100434
100435
100436
100437
100438
100439
100440
100441
100442
100443
100444
100445
100446
100447
100448
100449
100450
100451
100452
100453
100454
100455
100456
100457
100458
100459
100460
100461
100462
100463
100464
100465
100466
100467
100468
100469
100470
100471
100472
100473
100474
100475
100476
100477
100478
100479
100480
100481
100482
100483
100484
100485
100486
100487
100488
100489
100490
100491
100492
100493
100494
100495
100496
100497
100498
100499
100500
100501
100502
100503
100504
100505
100506
100507
100508
100509
100510
100511
100512
100513
100514
100515
100516
100517
100518
100519
100520
100521
100522
100523
100524
100525
100526
100527
100528
100529
100530
100531
100532
100533
100534
100535
100536
100537
100538
100539
100540
100541
100542
100543
100544
100545
100546
100547
100548
100549
100550
100551
100552
100553
100554
100555
100556
100557
100558
100559
100560
100561
100562
100563
100564
100565
100566
100567
100568
100569
100570
100571
100572
100573
100574
100575
100576
100577
100578
100579
100580
100581
100582
100583
100584
100585
100586
100587
100588
100589
100590
100591
100592
100593
100594
100595
100596
100597
100598
100599
100600
100601
100602
100603
100604
100605
100606
100607
100608
100609
100610
100611
100612
100613
100614
100615
100616
100617
100618
100619
100620
100621
100622
100623
100624
100625
100626
100627
100628
100629
100630
100631
100632
100633
100634
100635
100636
100637
100638
100639
100640
100641
100642
100643
100644
100645
100646
100647
100648   always @(posedge clk_i  )
100649     begin
100650	if (rst_i ==  1'b1)
100651	  begin
100652             instruction_d <= { 32{1'b0}};
100653
100654
100655             bus_error_d <=  1'b0;
100656
100657
100658	  end
100659	else
100660	  begin
100661             if (stall_d ==  1'b0)
100662               begin
100663		  instruction_d <= instruction_f;
100664
100665
100666		  bus_error_d <= bus_error_f;
100667
100668
100669               end
100670	  end
100671     end
100672
100673endmodule
100674
100675
100676
100677
100678
100679
100680
100681
100682
100683
100684
100685
100686
100687
100688
100689
100690
100691
100692
100693
100694
100695
100696
100697
100698
100699
100700
100701
100702
100703
100704
100705
100706
100707
100708
100709
100710
100711
100712
100713
100714
100715
100716
100717
100718
100719
100720
100721
100722
100723
100724
100725
100726
100727
100728
100729
100730
100731
100732
100733
100734
100735
100736
100737
100738
100739
100740
100741
100742
100743
100744
100745
100746
100747
100748
100749
100750
100751
100752
100753
100754
100755
100756
100757
100758
100759
100760
100761
100762
100763
100764
100765
100766
100767
100768
100769
100770
100771
100772
100773
100774
100775
100776
100777
100778
100779
100780
100781
100782
100783
100784
100785
100786
100787
100788
100789
100790
100791
100792
100793
100794
100795
100796
100797
100798
100799
100800
100801
100802
100803
100804
100805
100806
100807
100808
100809
100810
100811
100812
100813
100814
100815
100816
100817
100818
100819
100820
100821
100822
100823
100824
100825
100826
100827
100828
100829
100830
100831
100832
100833
100834
100835
100836
100837
100838
100839
100840
100841
100842
100843
100844
100845
100846
100847
100848
100849
100850
100851
100852
100853
100854
100855
100856
100857
100858
100859
100860
100861
100862
100863
100864
100865
100866
100867
100868
100869
100870
100871
100872
100873
100874
100875
100876
100877
100878
100879
100880
100881
100882
100883
100884
100885
100886
100887
100888
100889
100890
100891
100892
100893
100894
100895
100896
100897
100898
100899
100900
100901
100902
100903
100904
100905
100906
100907
100908
100909
100910
100911
100912
100913
100914
100915
100916
100917
100918
100919
100920
100921
100922
100923
100924
100925
100926
100927
100928
100929
100930
100931
100932
100933
100934
100935
100936
100937
100938
100939
100940
100941
100942
100943
100944
100945
100946
100947
100948
100949
100950
100951
100952
100953
100954
100955
100956
100957
100958
100959
100960
100961
100962
100963
100964
100965
100966
100967
100968
100969
100970
100971
100972
100973
100974
100975
100976
100977
100978
100979
100980
100981
100982
100983
100984
100985
100986
100987
100988
100989
100990
100991
100992
100993
100994
100995
100996
100997
100998
100999
101000
101001
101002
101003
101004
101005
101006
101007
101008
101009
101010
101011
101012
101013
101014
101015
101016
101017
101018
101019
101020
101021
101022
101023
101024
101025
101026
101027
101028
101029
101030
101031
101032
101033
101034
101035
101036
101037
101038
101039
101040
101041
101042
101043
101044
101045
101046
101047
101048
101049
101050
101051
101052
101053
101054
101055
101056
101057
101058
101059
101060
101061
101062
101063
101064
101065
101066
101067
101068
101069
101070
101071
101072
101073
101074
101075
101076
101077
101078
101079
101080
101081
101082
101083
101084
101085
101086
101087
101088
101089
101090
101091
101092
101093
101094
101095
101096
101097
101098
101099
101100
101101
101102
101103
101104
101105
101106
101107
101108
101109
101110
101111
101112
101113
101114
101115
101116
101117
101118
101119
101120
101121
101122
101123
101124
101125
101126
101127
101128
101129
101130
101131
101132
101133
101134
101135
101136
101137
101138
101139
101140
101141
101142
101143
101144
101145
101146
101147
101148
101149
101150
101151
101152
101153
101154
101155
101156
101157
101158
101159
101160
101161
101162
101163
101164
101165
101166
101167
101168
101169
101170
101171
101172
101173
101174
101175
101176
101177
101178
101179
101180
101181
101182
101183
101184
101185
101186
101187
101188
101189
101190
101191
101192
101193
101194
101195
101196
101197
101198
101199
101200
101201
101202
101203
101204
101205
101206
101207
101208
101209
101210
101211
101212
101213
101214
101215
101216
101217
101218
101219
101220
101221
101222
101223
101224
101225
101226
101227
101228
101229
101230
101231
101232
101233
101234
101235
101236
101237
101238
101239
101240
101241
101242
101243
101244
101245
101246
101247
101248
101249
101250
101251
101252
101253
101254
101255
101256
101257
101258
101259
101260
101261
101262
101263
101264
101265
101266
101267
101268
101269
101270
101271
101272
101273
101274
101275
101276
101277
101278
101279
101280
101281
101282
101283
101284
101285
101286
101287
101288
101289
101290
101291
101292
101293
101294
101295
101296
101297
101298
101299
101300
101301
101302
101303
101304
101305
101306
101307
101308
101309
101310
101311
101312
101313
101314
101315
101316
101317
101318
101319
101320
101321
101322
101323
101324
101325
101326
101327
101328
101329
101330
101331
101332
101333
101334
101335
101336
101337
101338
101339
101340
101341
101342
101343
101344
101345
101346
101347
101348
101349
101350
101351
101352
101353
101354
101355
101356
101357
101358
101359
101360
101361
101362
101363
101364
101365
101366
101367
101368
101369
101370
101371
101372
101373
101374
101375
101376
101377
101378
101379
101380
101381
101382
101383
101384
101385
101386
101387
101388
101389
101390
101391
101392
101393
101394
101395
101396
101397
101398
101399
101400
101401
101402
101403
101404
101405
101406
101407
101408
101409
101410
101411
101412
101413
101414
101415
101416
101417
101418
101419
101420
101421
101422
101423
101424
101425
101426
101427
101428
101429
101430
101431
101432
101433
101434
101435
101436
101437
101438
101439
101440
101441
101442
101443
101444
101445
101446
101447
101448
101449
101450
101451
101452
101453
101454
101455
101456
101457
101458
101459
101460
101461
101462
101463
101464
101465
101466
101467
101468
101469
101470
101471
101472
101473
101474
101475
101476
101477
101478
101479
101480
101481
101482
101483
101484
101485
101486
101487
101488
101489
101490
101491
101492
101493
101494
101495
101496
101497
101498
101499
101500
101501
101502
101503
101504
101505
101506
101507
101508
101509
101510
101511
101512
101513
101514
101515
101516
101517
101518
101519
101520
101521
101522
101523
101524
101525
101526
101527
101528
101529
101530
101531
101532
101533
101534
101535
101536
101537
101538
101539
101540
101541
101542
101543
101544
101545
101546
101547
101548
101549
101550
101551
101552
101553
101554
101555
101556
101557
101558
101559
101560
101561
101562
101563
101564
101565
101566
101567
101568
101569
101570
101571
101572
101573
101574
101575
101576
101577
101578
101579
101580
101581
101582
101583
101584
101585
101586
101587
101588
101589
101590
101591
101592
101593
101594
101595
101596
101597
101598
101599
101600
101601
101602
101603
101604
101605
101606
101607
101608
101609
101610
101611
101612
101613
101614
101615
101616
101617
101618
101619
101620
101621
101622
101623
101624
101625
101626
101627
101628
101629
101630
101631
101632
101633
101634
101635
101636
101637
101638
101639
101640
101641
101642
101643
101644
101645
101646
101647
101648
101649
101650
101651
101652
101653
101654
101655
101656
101657
101658
101659
101660
101661
101662
101663
101664
101665
101666
101667
101668
101669
101670
101671
101672
101673
101674
101675
101676
101677
101678
101679
101680
101681
101682
101683
101684
101685
101686
101687
101688
101689
101690
101691
101692
101693
101694
101695
101696
101697
101698
101699
101700
101701
101702
101703
101704
101705
101706
101707
101708
101709
101710
101711
101712
101713
101714
101715
101716
101717
101718
101719
101720
101721
101722
101723
101724
101725
101726
101727
101728
101729
101730
101731
101732
101733
101734
101735
101736
101737
101738
101739
101740
101741
101742
101743
101744
101745
101746
101747
101748
101749
101750
101751
101752
101753
101754
101755
101756
101757
101758
101759
101760
101761
101762
101763
101764
101765
101766
101767
101768
101769
101770
101771
101772
101773
101774
101775
101776
101777
101778
101779
101780
101781
101782
101783
101784
101785
101786
101787
101788
101789
101790
101791
101792
101793
101794
101795
101796
101797
101798
101799
101800
101801
101802
101803
101804
101805
101806
101807
101808
101809
101810
101811
101812
101813
101814
101815
101816
101817
101818
101819
101820
101821
101822
101823
101824
101825
101826
101827
101828
101829
101830module lm32_interrupt_wr_node (
101831
101832    clk_i,
101833    rst_i,
101834
101835    interrupt,
101836
101837    stall_x,
101838
101839
101840    non_debug_exception,
101841    debug_exception,
101842
101843
101844
101845
101846    eret_q_x,
101847
101848
101849    bret_q_x,
101850
101851
101852    csr,
101853    csr_write_data,
101854    csr_write_enable,
101855
101856    interrupt_exception,
101857
101858    csr_read_data
101859    );
101860
101861
101862
101863
101864
101865parameter interrupts =  32;
101866
101867
101868
101869
101870
101871input clk_i;
101872input rst_i;
101873
101874input [interrupts-1:0] interrupt;
101875
101876input stall_x;
101877
101878
101879
101880input non_debug_exception;
101881input debug_exception;
101882
101883
101884
101885
101886input eret_q_x;
101887
101888
101889input bret_q_x;
101890
101891
101892
101893input [ (5-1):0] csr;
101894input [ (32-1):0] csr_write_data;
101895input csr_write_enable;
101896
101897
101898
101899
101900
101901output interrupt_exception;
101902wire   interrupt_exception;
101903
101904output [ (32-1):0] csr_read_data;
101905reg    [ (32-1):0] csr_read_data;
101906
101907
101908
101909
101910
101911wire [interrupts-1:0] asserted;
101912
101913wire [interrupts-1:0] interrupt_n_exception;
101914
101915
101916
101917reg ie;
101918reg eie;
101919
101920
101921reg bie;
101922
101923
101924reg [interrupts-1:0] ip;
101925reg [interrupts-1:0] im;
101926
101927
101928
101929
101930
101931
101932assign interrupt_n_exception = ip & im;
101933
101934
101935assign interrupt_exception = (|interrupt_n_exception) & ie;
101936
101937
101938assign asserted = ip | interrupt;
101939
101940generate
101941    if (interrupts > 1)
101942    begin
101943
101944always @(*)
101945begin
101946    case (csr)
101947     5'h0:  csr_read_data = {{ 32-3{1'b0}},
101948
101949
101950                                    bie,
101951
101952
101953
101954
101955                                    eie,
101956                                    ie
101957                                   };
101958     5'h2:  csr_read_data = ip;
101959     5'h1:  csr_read_data = im;
101960    default:       csr_read_data = { 32{1'bx}};
101961    endcase
101962end
101963    end
101964    else
101965    begin
101966
101967always @(*)
101968begin
101969    case (csr)
101970     5'h0:  csr_read_data = {{ 32-3{1'b0}},
101971
101972
101973                                    bie,
101974
101975
101976
101977
101978                                    eie,
101979                                    ie
101980                                   };
101981     5'h2:  csr_read_data = ip;
101982    default:       csr_read_data = { 32{1'bx}};
101983      endcase
101984end
101985    end
101986endgenerate
101987
101988
101989
101990
101991
101992
101993
101994   reg [ 10:0] eie_delay  = 0;
101995
101996
101997generate
101998
101999
102000    if (interrupts > 1)
102001    begin
102002
102003always @(posedge clk_i  )
102004  begin
102005    if (rst_i ==  1'b1)
102006    begin
102007        ie                   <=  1'b0;
102008        eie                  <=  1'b0;
102009
102010
102011        bie                  <=  1'b0;
102012
102013
102014        im                   <= {interrupts{1'b0}};
102015        ip                   <= {interrupts{1'b0}};
102016       eie_delay             <= 0;
102017
102018    end
102019    else
102020    begin
102021
102022        ip                   <= asserted;
102023
102024
102025        if (non_debug_exception ==  1'b1)
102026        begin
102027
102028            eie              <= ie;
102029            ie               <=  1'b0;
102030        end
102031        else if (debug_exception ==  1'b1)
102032        begin
102033
102034            bie              <= ie;
102035            ie               <=  1'b0;
102036        end
102037
102038
102039
102040
102041
102042
102043
102044
102045
102046        else if (stall_x ==  1'b0)
102047        begin
102048
102049           if(eie_delay[0])
102050             ie              <= eie;
102051
102052           eie_delay         <= {1'b0, eie_delay[ 10:1]};
102053
102054            if (eret_q_x ==  1'b1) begin
102055
102056               eie_delay[ 10] <=  1'b1;
102057               eie_delay[ 10-1:0] <= 0;
102058            end
102059
102060
102061
102062
102063
102064            else if (bret_q_x ==  1'b1)
102065
102066                ie      <= bie;
102067
102068
102069            else if (csr_write_enable ==  1'b1)
102070            begin
102071
102072                if (csr ==  5'h0)
102073                begin
102074                    ie  <= csr_write_data[0];
102075                    eie <= csr_write_data[1];
102076
102077
102078                    bie <= csr_write_data[2];
102079
102080
102081                end
102082                if (csr ==  5'h1)
102083                    im  <= csr_write_data[interrupts-1:0];
102084                if (csr ==  5'h2)
102085                    ip  <= asserted & ~csr_write_data[interrupts-1:0];
102086            end
102087        end
102088    end
102089end
102090    end
102091else
102092    begin
102093
102094always @(posedge clk_i  )
102095  begin
102096    if (rst_i ==  1'b1)
102097    begin
102098        ie              <=  1'b0;
102099        eie             <=  1'b0;
102100
102101
102102        bie             <=  1'b0;
102103
102104
102105        ip              <= {interrupts{1'b0}};
102106       eie_delay        <= 0;
102107    end
102108    else
102109    begin
102110
102111        ip              <= asserted;
102112
102113
102114        if (non_debug_exception ==  1'b1)
102115        begin
102116
102117            eie         <= ie;
102118            ie          <=  1'b0;
102119        end
102120        else if (debug_exception ==  1'b1)
102121        begin
102122
102123            bie         <= ie;
102124            ie          <=  1'b0;
102125        end
102126
102127
102128
102129
102130
102131
102132
102133
102134
102135        else if (stall_x ==  1'b0)
102136          begin
102137
102138             if(eie_delay[0])
102139               ie              <= eie;
102140
102141             eie_delay         <= {1'b0, eie_delay[ 10:1]};
102142
102143             if (eret_q_x ==  1'b1) begin
102144
102145                eie_delay[ 10] <=  1'b1;
102146                eie_delay[ 10-1:0] <= 0;
102147             end
102148
102149
102150
102151            else if (bret_q_x ==  1'b1)
102152
102153                ie      <= bie;
102154
102155
102156            else if (csr_write_enable ==  1'b1)
102157            begin
102158
102159                if (csr ==  5'h0)
102160                begin
102161                    ie  <= csr_write_data[0];
102162                    eie <= csr_write_data[1];
102163
102164
102165                    bie <= csr_write_data[2];
102166
102167
102168                end
102169                if (csr ==  5'h2)
102170                    ip  <= asserted & ~csr_write_data[interrupts-1:0];
102171            end
102172        end
102173    end
102174end
102175    end
102176endgenerate
102177
102178endmodule
102179
102180
102181
102182