1# For complete documentation of this file, please see Geany's main documentation
2[styling]
3# Edit these in the colorscheme .conf file instead
4default=default
5comment=comment_line
6commentblock=comment
7docline=comment_line_doc
8docblock=comment_doc
9dockeyword=comment_doc_keyword
10number=number_1
11word=keyword_1
12string=string_1
13preprocessor=preprocessor
14operator=operator
15identifier=identifier_1
16date=number_2
17stringeol=string_eol
18word2=keyword_2
19word3=keyword_3
20word4=keyword_4
21constant=identifier_2
22asm=type
23label=label
24error=error
25hexnumber=number_1
26binnumber=number_1
27
28[keywords]
29# all items must be in one line
30keywords=abs access acos alias allocate alpha and andalso any append as asc asin asm assert assertwarn atan2 atn base beep bin binary bit bitreset bitset bload boolean bsave byref byte byval call callocate case cast cbyte cdbl cdecl chain chdir chr cint circle class clear clng clngint close cls color com command common condbroadcast condcreate conddestroy condsignal condwait cons const constructor continue cos cptr cshort csign csng csrlin cubyte cuint culng culngint cunsg curdir cushort custom cvd cvi cvl cvlongint cvs cvshort data date dateadd datediff datepart dateserial datevalue day deallocate declare defbyte defdbl defined defint deflng deflngint defshort defsng defstr defubyte defuint defulngint defushort delete destructor dim dir do double draw dylibfree dylibload dylibsymbol dynamic else elseif encoding end endif enum environ eof eqv erase erfn erl ermn err error escape exec exepath exit exp explicit export extends extern false fboolean field fileattr filecopy filedatetime fileexists filelen fix flip for format frac fre freefile function get getjoystick getkey getmouse gosub goto hex hibyte hiword hour if iif imageconvertrow imagecreate imagedestroy imp import inkey inp input input$ instr instrrev int integer interface is isdate kill lbound lcase left len let lib line lobyte loc local locate lock lof log long longint loop loword lpos lprint lpt lset ltrim mid minute mkd mkdir mki mkl mklongint mks mkshort mod month monthname multikey mutexcreate mutexdestroy mutexlock mutexunlock name namespace new next nokeyword not now object oct offsetof on once open operator option or orelse out output overload paint palette pascal pcopy peek pipe pmap point pointer poke pos preserve preset print private procptr property protected pset ptr public put random randomize read reallocate redim rem reset restore resume return rgb rgba right rmdir rnd rset rtrim run sadd scope screen screencontrol screencopy screenevent screenglproc screeninfo screenlist screenlock screenptr screenres screenset screensync screenunlock scrn second seek select setdate setenviron setmouse settime sgn shared shell shl short shr sin single sizeof sleep space spc sqr static stdcall step stop str string strptr sub swap system tab tan then this threadcreate threadwait time timer timeserial timevalue to trans trim true type typeof ubound ubyte ucase uinteger ulong ulongint union unlock unsigned until ushort using va_arg va_first va_next val valint vallng valuint valulng var varptr view virtual wait wbin wchr weekday weekdayname wend whex while width window windowtitle winput with woct write wspace wstr wstring xor year zstring
31preprocessor=#assert #define defined #else #elseif #endif #endmacro #error #if #ifdef #ifndef #inclib #include #lang #libpath #line #macro once #pragma #print #static  #undef
32# user definable keywords
33user1=
34user2=
35
36[settings]
37# default extension used when saving files
38extension=bas
39
40# the following characters are these which a "word" can contains, see documentation
41#wordchars=_abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789
42
43# single comments, like # in this file
44comment_single='
45# multiline comments
46comment_open=/'
47comment_close='/
48
49# set to false if a comment character/string should start at column 0 of a line, true uses any
50# indentation of the line, e.g. setting to true causes the following on pressing CTRL+d
51	#command_example();
52# setting to false would generate this
53#	command_example();
54# This setting works only for single line comments
55comment_use_indent=true
56
57# context action command (please see Geany's main documentation for details)
58context_action_cmd=
59
60[indentation]
61#width=4
62# 0 is spaces, 1 is tabs, 2 is tab & spaces
63#type=1
64
65[build_settings]
66# %f will be replaced by the complete filename
67# %e will be replaced by the filename without extension
68# (use only one of it at one time)
69compiler=fbc -w all "%f"
70run_cmd="./%e"
71
72