1module entry_8_m
2type t
3  integer i
4  real x (5)
5end type t
6end module entry_8_m
7
8function f (i)
9  use entry_8_m
10  type (t) :: f,g
11  f % i = i
12  return
13  entry g (x)
14  g%x = x
15end function f
16
17use entry_8_m
18type (t) :: f, g, res
19
20res = f (42)
21if (res%i /= 42) STOP 1
22res = g (1.)
23if (any (res%x /= 1.)) STOP 2
24end
25