1module top(input clk, input d, cen, output reg q);
2    always @(posedge clk)
3        if (cen)
4            q <= d;
5endmodule
6