1module top(input clk, input d, output reg q);
2    always @(posedge clk)
3        q <= d;
4endmodule
5