1+	input.f90	/^  interface operator(+)/;"	i	module:test_interface
2add	input.f90	/^    type(atype) function add(/;"	P	module:test_interface
3atype	input.f90	/^  type atype$/;"	t	module:test_interface
4get	input.f90	/^  interface get$/;"	i	module:test_interface
5get_1d	input.f90	/^  subroutine get_1d(/;"	s	module:test_interface
6get_2d	input.f90	/^  subroutine get_2d(/;"	s	module:test_interface
7suba	input.f90	/^    subroutine suba(/;"	P	module:test_interface
8subb	input.f90	/^    subroutine subb(/;"	P	module:test_interface
9test_interface	input.f90	/^module test_interface$/;"	m
10