1 #include <chemistry/qc/oint3/build.h>
i0301()2 int sc::BuildIntV3::i0301(){
3 /* the cost is 597 */
4 double t1;
5 double t2;
6 double t3;
7 double t4;
8 double t5;
9 double t6;
10 double t7;
11 double t8;
12 double t9;
13 double t10;
14 double t11;
15 double t12;
16 double t13;
17 double t14;
18 double t15;
19 double t16;
20 double t17;
21 double t18;
22 double t19;
23 double t20;
24 double t21;
25 double t22;
26 double t23;
27 double t24;
28 double t25;
29 double t26;
30 double t27;
31 double t28;
32 double t29;
33 double t30;
34 double t31;
35 double t32;
36 double t33;
37 double t34;
38 double t35;
39 double t36;
40 double t37;
41 double t38;
42 double t39;
43 double t40;
44 double t41;
45 double t42;
46 double t43;
47 double t44;
48 double t45;
49 double t46;
50 double t47;
51 double t48;
52 double t49;
53 double t50;
54 double t51;
55 double t52;
56 double t53;
57 double t54;
58 double t55;
59 double t56;
60 double t57;
61 double t58;
62 double t59;
63 double t60;
64 double t61;
65 double t62;
66 double t63;
67 double t64;
68 double t65;
69 double t66;
70 double t67;
71 double t68;
72 double t69;
73 double t70;
74 double t71;
75 double t72;
76 double t73;
77 double t74;
78 double t75;
79 double t76;
80 double t77;
81 double t78;
82 double t79;
83 t1=int_v_zeta34*int_v_ooze;
84 t2=int_v_oo2zeta12*t1;
85 t1=(-1)*t2;
86 double***restrictxx int_v_list0=int_v_list(0);
87 double**restrictxx int_v_list00=int_v_list0[0];
88 double*restrictxx int_v_list002=int_v_list00[2];
89 t2=t1*int_v_list002[0];
90 double*restrictxx int_v_list001=int_v_list00[1];
91 t3=int_v_oo2zeta12*int_v_list001[0];
92 t4=t3+t2;
93 t2=int_v_W0-int_v_p120;
94 double*restrictxx int_v_list003=int_v_list00[3];
95 t3=t2*int_v_list003[0];
96 t5=int_v_p120-int_v_r10;
97 t6=t5*int_v_list002[0];
98 t7=t6+t3;
99 t3=t2*t7;
100 t6=t3+t4;
101 t3=t2*int_v_list002[0];
102 t8=t5*int_v_list001[0];
103 t9=t8+t3;
104 t3=t5*t9;
105 t8=t3+t6;
106 t3=0.5*int_v_ooze;
107 t6=t3*t8;
108 t10=t3*int_v_list002[0];
109 t11=int_v_W0-int_v_p340;
110 t12=t11*int_v_list003[0];
111 t13=int_v_p340-int_v_r30;
112 t14=t13*int_v_list002[0];
113 t15=t14+t12;
114 t12=t2*t15;
115 t14=t12+t10;
116 t12=t11*int_v_list002[0];
117 t16=t13*int_v_list001[0];
118 t17=t16+t12;
119 t12=t5*t17;
120 t16=t12+t14;
121 t12=2*int_v_ooze;
122 t14=int_v_zeta34*t12;
123 t18=int_v_oo2zeta12*t14;
124 t14=(-1)*t18;
125 t18=t14*t16;
126 t19=t18+t6;
127 t18=t3*int_v_list001[0];
128 t20=t2*t17;
129 t21=t20+t18;
130 t20=t11*int_v_list001[0];
131 double*restrictxx int_v_list000=int_v_list00[0];
132 t22=t13*int_v_list000[0];
133 t23=t22+t20;
134 double**restrictxx int_v_list01=int_v_list0[1];
135 double*restrictxx int_v_list010=int_v_list01[0];
136 int_v_list010[2]=t23;
137 t20=t5*t23;
138 t22=t20+t21;
139 double***restrictxx int_v_list1=int_v_list(1);
140 double**restrictxx int_v_list11=int_v_list1[1];
141 double*restrictxx int_v_list110=int_v_list11[0];
142 int_v_list110[8]=t22;
143 t20=int_v_oo2zeta12*2;
144 t21=t20*t22;
145 t24=t21+t19;
146 t19=t3*t7;
147 t21=t1*t15;
148 t25=t21+t19;
149 t26=int_v_oo2zeta12*t17;
150 t27=t26+t25;
151 t25=t3*int_v_list003[0];
152 double*restrictxx int_v_list004=int_v_list00[4];
153 t28=t11*int_v_list004[0];
154 t29=t13*int_v_list003[0];
155 t30=t29+t28;
156 t28=t2*t30;
157 t29=t28+t25;
158 t28=t5*t15;
159 t31=t28+t29;
160 t28=t2*t31;
161 t29=t28+t27;
162 t27=t5*t16;
163 t28=t27+t29;
164 t27=t2*t28;
165 t29=t27+t24;
166 t24=t12*0.5;
167 t12=t24*t9;
168 t27=t11*t8;
169 t32=t27+t12;
170 t12=t1*int_v_list001[0];
171 t27=int_v_oo2zeta12*int_v_list000[0];
172 t33=t27+t12;
173 t12=t2*t9;
174 t27=t12+t33;
175 t12=t2*int_v_list001[0];
176 t34=t5*int_v_list000[0];
177 t35=t34+t12;
178 double**restrictxx int_v_list10=int_v_list1[0];
179 double*restrictxx int_v_list100=int_v_list10[0];
180 int_v_list100[2]=t35;
181 t12=t5*t35;
182 t34=t12+t27;
183 double***restrictxx int_v_list2=int_v_list(2);
184 double**restrictxx int_v_list20=int_v_list2[0];
185 double*restrictxx int_v_list200=int_v_list20[0];
186 int_v_list200[5]=t34;
187 t12=t13*t34;
188 t27=t12+t32;
189 double**restrictxx int_v_list21=int_v_list2[1];
190 double*restrictxx int_v_list210=int_v_list21[0];
191 int_v_list210[17]=t27;
192 t12=t5*t27;
193 t32=t12+t29;
194 double***restrictxx int_v_list3=int_v_list(3);
195 double**restrictxx int_v_list31=int_v_list3[1];
196 double*restrictxx int_v_list310=int_v_list31[0];
197 int_v_list310[29]=t32;
198 t12=int_v_W2-int_v_p342;
199 t29=t12*int_v_list003[0];
200 t36=int_v_p342-int_v_r32;
201 t37=t36*int_v_list002[0];
202 t38=t37+t29;
203 t29=t2*t38;
204 t37=t12*int_v_list002[0];
205 t39=t36*int_v_list001[0];
206 t40=t39+t37;
207 t37=t5*t40;
208 t39=t37+t29;
209 t29=t14*t39;
210 t37=t2*t40;
211 t41=t12*int_v_list001[0];
212 t42=t36*int_v_list000[0];
213 t43=t42+t41;
214 int_v_list010[1]=t43;
215 t41=t5*t43;
216 t42=t41+t37;
217 int_v_list110[7]=t42;
218 t37=t20*t42;
219 t41=t37+t29;
220 t29=t1*t38;
221 t37=int_v_oo2zeta12*t40;
222 t44=t37+t29;
223 t45=t12*int_v_list004[0];
224 t46=t36*int_v_list003[0];
225 t47=t46+t45;
226 t45=t2*t47;
227 t46=t5*t38;
228 t48=t46+t45;
229 t45=t2*t48;
230 t46=t45+t44;
231 t45=t5*t39;
232 t49=t45+t46;
233 t45=t2*t49;
234 t46=t45+t41;
235 t41=t12*t8;
236 t45=t36*t34;
237 t50=t45+t41;
238 int_v_list210[16]=t50;
239 t41=t5*t50;
240 t45=t41+t46;
241 int_v_list310[28]=t45;
242 t41=int_v_W1-int_v_p341;
243 t46=t41*int_v_list003[0];
244 t51=int_v_p341-int_v_r31;
245 t52=t51*int_v_list002[0];
246 t53=t52+t46;
247 t46=t2*t53;
248 t52=t41*int_v_list002[0];
249 t54=t51*int_v_list001[0];
250 t55=t54+t52;
251 t52=t5*t55;
252 t54=t52+t46;
253 t46=t14*t54;
254 t52=t2*t55;
255 t56=t41*int_v_list001[0];
256 t57=t51*int_v_list000[0];
257 t58=t57+t56;
258 int_v_list010[0]=t58;
259 t56=t5*t58;
260 t57=t56+t52;
261 int_v_list110[6]=t57;
262 t52=t20*t57;
263 t56=t52+t46;
264 t46=t1*t53;
265 t52=int_v_oo2zeta12*t55;
266 t59=t52+t46;
267 t60=t41*int_v_list004[0];
268 t61=t51*int_v_list003[0];
269 t62=t61+t60;
270 t60=t2*t62;
271 t61=t5*t53;
272 t63=t61+t60;
273 t60=t2*t63;
274 t61=t60+t59;
275 t60=t5*t54;
276 t64=t60+t61;
277 t60=t2*t64;
278 t61=t60+t56;
279 t56=t41*t8;
280 t60=t51*t34;
281 t65=t60+t56;
282 int_v_list210[15]=t65;
283 t56=t5*t65;
284 t60=t56+t61;
285 int_v_list310[27]=t60;
286 t56=int_v_W2-int_v_p122;
287 t61=t56*t28;
288 t66=int_v_p122-int_v_r12;
289 t67=t66*t27;
290 t68=t67+t61;
291 int_v_list310[26]=t68;
292 t61=t56*t49;
293 t67=t6+t61;
294 t61=t66*t50;
295 t69=t61+t67;
296 int_v_list310[25]=t69;
297 t61=t56*t64;
298 t67=t66*t65;
299 t70=t67+t61;
300 int_v_list310[24]=t70;
301 t61=int_v_W1-int_v_p121;
302 t67=t28*t61;
303 t28=int_v_p121-int_v_r11;
304 t71=t28*t27;
305 t27=t71+t67;
306 int_v_list310[23]=t27;
307 t67=t61*t49;
308 t49=t28*t50;
309 t50=t49+t67;
310 int_v_list310[22]=t50;
311 t49=t61*t64;
312 t64=t6+t49;
313 t6=t28*t65;
314 t49=t6+t64;
315 int_v_list310[21]=t49;
316 t6=t1*t16;
317 t64=int_v_oo2zeta12*t22;
318 t65=t64+t6;
319 t6=t56*t31;
320 t64=t66*t16;
321 t67=t64+t6;
322 t6=t56*t67;
323 t64=t6+t65;
324 t6=t56*t16;
325 t67=t66*t22;
326 t71=t67+t6;
327 int_v_list210[14]=t71;
328 t6=t66*t71;
329 t67=t6+t64;
330 int_v_list310[20]=t67;
331 t6=t56*t7;
332 t64=t66*t9;
333 t71=t64+t6;
334 t6=t3*t71;
335 t64=t1*t39;
336 t72=t64+t6;
337 t6=int_v_oo2zeta12*t42;
338 t73=t6+t72;
339 t72=t56*t48;
340 t74=t19+t72;
341 t72=t66*t39;
342 t75=t72+t74;
343 t72=t56*t75;
344 t74=t72+t73;
345 t72=t56*t39;
346 t73=t3*t9;
347 t75=t73+t72;
348 t72=t66*t42;
349 t76=t72+t75;
350 int_v_list210[13]=t76;
351 t72=t66*t76;
352 t75=t72+t74;
353 int_v_list310[19]=t75;
354 t72=t1*t54;
355 t74=int_v_oo2zeta12*t57;
356 t76=t74+t72;
357 t77=t56*t63;
358 t78=t66*t54;
359 t79=t78+t77;
360 t77=t56*t79;
361 t78=t77+t76;
362 t76=t56*t54;
363 t77=t66*t57;
364 t79=t77+t76;
365 int_v_list210[12]=t79;
366 t76=t66*t79;
367 t77=t76+t78;
368 int_v_list310[18]=t77;
369 t76=t61*t31;
370 t31=t28*t16;
371 t78=t31+t76;
372 t31=t56*t78;
373 t76=t61*t16;
374 t16=t28*t22;
375 t22=t16+t76;
376 int_v_list210[11]=t22;
377 t16=t66*t22;
378 t76=t16+t31;
379 int_v_list310[17]=t76;
380 t16=t61*t7;
381 t7=t28*t9;
382 t31=t7+t16;
383 t7=t3*t31;
384 t16=t61*t48;
385 t48=t28*t39;
386 t79=t48+t16;
387 t16=t56*t79;
388 t48=t16+t7;
389 t16=t61*t39;
390 t39=t28*t42;
391 t42=t39+t16;
392 int_v_list210[10]=t42;
393 t16=t66*t42;
394 t39=t16+t48;
395 int_v_list310[16]=t39;
396 t16=t61*t63;
397 t48=t19+t16;
398 t16=t28*t54;
399 t19=t16+t48;
400 t16=t56*t19;
401 t48=t61*t54;
402 t54=t73+t48;
403 t48=t28*t57;
404 t57=t48+t54;
405 int_v_list210[9]=t57;
406 t48=t66*t57;
407 t54=t48+t16;
408 int_v_list310[15]=t54;
409 t16=t61*t78;
410 t48=t65+t16;
411 t16=t28*t22;
412 t22=t16+t48;
413 int_v_list310[14]=t22;
414 t16=t6+t64;
415 t6=t61*t79;
416 t48=t6+t16;
417 t6=t28*t42;
418 t16=t6+t48;
419 int_v_list310[13]=t16;
420 t6=t72+t7;
421 t7=t74+t6;
422 t6=t61*t19;
423 t19=t6+t7;
424 t6=t28*t57;
425 t7=t6+t19;
426 int_v_list310[12]=t7;
427 t6=t56*t15;
428 t19=t66*t17;
429 t42=t19+t6;
430 t6=t14*t42;
431 t19=t56*t17;
432 t48=t66*t23;
433 t57=t48+t19;
434 int_v_list110[5]=t57;
435 t19=t20*t57;
436 t48=t19+t6;
437 t6=t26+t21;
438 t19=t56*t30;
439 t21=t66*t15;
440 t26=t21+t19;
441 t19=t56*t26;
442 t21=t19+t6;
443 t19=t66*t42;
444 t26=t19+t21;
445 t19=t56*t26;
446 t21=t19+t48;
447 t19=t56*int_v_list003[0];
448 t26=t66*int_v_list002[0];
449 t42=t26+t19;
450 t19=t56*t42;
451 t26=t4+t19;
452 t19=t56*int_v_list002[0];
453 t48=t66*int_v_list001[0];
454 t57=t48+t19;
455 t19=t66*t57;
456 t48=t19+t26;
457 t19=t11*t48;
458 t26=t56*t57;
459 t63=t33+t26;
460 t26=t56*int_v_list001[0];
461 t64=t66*int_v_list000[0];
462 t65=t64+t26;
463 int_v_list100[1]=t65;
464 t26=t66*t65;
465 t64=t26+t63;
466 int_v_list200[2]=t64;
467 t26=t13*t64;
468 t63=t26+t19;
469 int_v_list210[8]=t63;
470 t19=t66*t63;
471 t26=t19+t21;
472 int_v_list310[11]=t26;
473 t19=t56*t38;
474 t21=t10+t19;
475 t19=t66*t40;
476 t63=t19+t21;
477 t19=t14*t63;
478 t21=t3*t48;
479 t72=t21+t19;
480 t19=t56*t40;
481 t21=t18+t19;
482 t19=t66*t43;
483 t73=t19+t21;
484 int_v_list110[4]=t73;
485 t19=t20*t73;
486 t21=t19+t72;
487 t19=t3*t42;
488 t42=t29+t19;
489 t19=t37+t42;
490 t29=t56*t47;
491 t37=t25+t29;
492 t29=t66*t38;
493 t42=t29+t37;
494 t29=t56*t42;
495 t37=t29+t19;
496 t19=t66*t63;
497 t29=t19+t37;
498 t19=t56*t29;
499 t29=t19+t21;
500 t19=t24*t57;
501 t21=t12*t48;
502 t37=t21+t19;
503 t19=t36*t64;
504 t21=t19+t37;
505 int_v_list210[7]=t21;
506 t19=t66*t21;
507 t21=t19+t29;
508 int_v_list310[10]=t21;
509 t19=t56*t53;
510 t29=t66*t55;
511 t37=t29+t19;
512 t19=t14*t37;
513 t29=t56*t55;
514 t42=t66*t58;
515 t63=t42+t29;
516 int_v_list110[3]=t63;
517 t29=t20*t63;
518 t42=t29+t19;
519 t19=t56*t62;
520 t29=t66*t53;
521 t63=t29+t19;
522 t19=t56*t63;
523 t29=t59+t19;
524 t19=t66*t37;
525 t37=t19+t29;
526 t19=t56*t37;
527 t29=t19+t42;
528 t19=t41*t48;
529 t37=t51*t64;
530 t42=t37+t19;
531 int_v_list210[6]=t42;
532 t19=t66*t42;
533 t37=t19+t29;
534 int_v_list310[9]=t37;
535 t19=t61*t15;
536 t29=t28*t17;
537 t42=t29+t19;
538 t19=t1*t42;
539 t29=t61*t17;
540 t17=t28*t23;
541 t23=t17+t29;
542 int_v_list110[2]=t23;
543 t17=int_v_oo2zeta12*t23;
544 t29=t17+t19;
545 t17=t61*t30;
546 t19=t28*t15;
547 t15=t19+t17;
548 t17=t56*t15;
549 t19=t66*t42;
550 t30=t19+t17;
551 t17=t56*t30;
552 t19=t17+t29;
553 t17=t56*t42;
554 t29=t66*t23;
555 t30=t29+t17;
556 int_v_list210[5]=t30;
557 t17=t66*t30;
558 t29=t17+t19;
559 int_v_list310[8]=t29;
560 t17=t61*t38;
561 t19=t28*t40;
562 t30=t19+t17;
563 t17=t1*t30;
564 t19=t61*int_v_list003[0];
565 t59=t28*int_v_list002[0];
566 t63=t59+t19;
567 t19=t56*t63;
568 t59=t61*int_v_list002[0];
569 t72=t28*int_v_list001[0];
570 t73=t72+t59;
571 t59=t66*t73;
572 t72=t59+t19;
573 t19=t3*t72;
574 t59=t19+t17;
575 t17=t61*t40;
576 t19=t28*t43;
577 t40=t19+t17;
578 int_v_list110[1]=t40;
579 t17=int_v_oo2zeta12*t40;
580 t19=t17+t59;
581 t17=t61*t47;
582 t43=t28*t38;
583 t38=t43+t17;
584 t17=t56*t38;
585 t43=t3*t63;
586 t47=t43+t17;
587 t17=t66*t30;
588 t59=t17+t47;
589 t17=t56*t59;
590 t47=t17+t19;
591 t17=t56*t30;
592 t19=t3*t73;
593 t59=t19+t17;
594 t17=t66*t40;
595 t19=t17+t59;
596 int_v_list210[4]=t19;
597 t17=t66*t19;
598 t19=t17+t47;
599 int_v_list310[7]=t19;
600 t17=t61*t53;
601 t47=t10+t17;
602 t10=t28*t55;
603 t17=t10+t47;
604 t10=t1*t17;
605 t47=t61*t55;
606 t55=t18+t47;
607 t18=t28*t58;
608 t47=t18+t55;
609 int_v_list110[0]=t47;
610 t18=int_v_oo2zeta12*t47;
611 t55=t18+t10;
612 t10=t61*t62;
613 t18=t25+t10;
614 t10=t28*t53;
615 t25=t10+t18;
616 t10=t56*t25;
617 t18=t66*t17;
618 t53=t18+t10;
619 t10=t56*t53;
620 t18=t10+t55;
621 t10=t56*t17;
622 t53=t66*t47;
623 t55=t53+t10;
624 int_v_list210[3]=t55;
625 t10=t66*t55;
626 t53=t10+t18;
627 int_v_list310[6]=t53;
628 t10=t61*t15;
629 t15=t6+t10;
630 t6=t28*t42;
631 t10=t6+t15;
632 t6=t56*t10;
633 t15=t61*t63;
634 t18=t4+t15;
635 t4=t28*t73;
636 t15=t4+t18;
637 t4=t11*t15;
638 t11=t61*t73;
639 t18=t33+t11;
640 t11=t61*int_v_list001[0];
641 t33=t28*int_v_list000[0];
642 t55=t33+t11;
643 int_v_list100[0]=t55;
644 t11=t28*t55;
645 t33=t11+t18;
646 int_v_list200[0]=t33;
647 t11=t13*t33;
648 t13=t11+t4;
649 int_v_list210[2]=t13;
650 t4=t66*t13;
651 t11=t4+t6;
652 int_v_list310[5]=t11;
653 t4=t61*t38;
654 t6=t44+t4;
655 t4=t28*t30;
656 t18=t4+t6;
657 t4=t56*t18;
658 t6=t3*t15;
659 t3=t6+t4;
660 t4=t12*t15;
661 t12=t36*t33;
662 t36=t12+t4;
663 int_v_list210[1]=t36;
664 t4=t66*t36;
665 t12=t4+t3;
666 int_v_list310[4]=t12;
667 t3=t46+t43;
668 t4=t52+t3;
669 t3=t61*t25;
670 t25=t3+t4;
671 t3=t28*t17;
672 t4=t3+t25;
673 t3=t56*t4;
674 t25=t24*t73;
675 t24=t41*t15;
676 t38=t24+t25;
677 t24=t51*t33;
678 t25=t24+t38;
679 int_v_list210[0]=t25;
680 t24=t66*t25;
681 t38=t24+t3;
682 int_v_list310[3]=t38;
683 t3=t14*t42;
684 t24=t20*t23;
685 t23=t24+t3;
686 t3=t61*t10;
687 t10=t3+t23;
688 t3=t28*t13;
689 t13=t3+t10;
690 int_v_list310[2]=t13;
691 t3=t14*t30;
692 t10=t20*t40;
693 t23=t10+t3;
694 t3=t61*t18;
695 t10=t3+t23;
696 t3=t28*t36;
697 t18=t3+t10;
698 int_v_list310[1]=t18;
699 t3=t14*t17;
700 t10=t6+t3;
701 t3=t20*t47;
702 t6=t3+t10;
703 t3=t61*t4;
704 t4=t3+t6;
705 t3=t28*t25;
706 t6=t3+t4;
707 int_v_list310[0]=t6;
708 t3=t14*t9;
709 t4=t20*t35;
710 t10=t4+t3;
711 t3=t2*t8;
712 t2=t3+t10;
713 t3=t5*t34;
714 t4=t3+t2;
715 double**restrictxx int_v_list30=int_v_list3[0];
716 double*restrictxx int_v_list300=int_v_list30[0];
717 int_v_list300[9]=t4;
718 t2=t56*t8;
719 t3=t66*t34;
720 t5=t3+t2;
721 int_v_list300[8]=t5;
722 t2=t61*t8;
723 t3=t28*t34;
724 t8=t3+t2;
725 int_v_list300[7]=t8;
726 t2=t1*t9;
727 t3=int_v_oo2zeta12*t35;
728 t10=t3+t2;
729 t2=t56*t71;
730 t3=t2+t10;
731 t2=t56*t9;
732 t17=t66*t35;
733 t23=t17+t2;
734 int_v_list200[4]=t23;
735 t2=t66*t23;
736 t17=t2+t3;
737 int_v_list300[6]=t17;
738 t2=t56*t31;
739 t3=t61*t9;
740 t9=t28*t35;
741 t23=t9+t3;
742 int_v_list200[3]=t23;
743 t3=t66*t23;
744 t9=t3+t2;
745 int_v_list300[5]=t9;
746 t2=t61*t31;
747 t3=t10+t2;
748 t2=t28*t23;
749 t10=t2+t3;
750 int_v_list300[4]=t10;
751 t2=t14*t57;
752 t3=t20*t65;
753 t23=t3+t2;
754 t2=t56*t48;
755 t3=t2+t23;
756 t2=t66*t64;
757 t23=t2+t3;
758 int_v_list300[3]=t23;
759 t2=t1*t73;
760 t1=int_v_oo2zeta12*t55;
761 t3=t1+t2;
762 t1=t56*t72;
763 t2=t1+t3;
764 t1=t56*t73;
765 t3=t66*t55;
766 t24=t3+t1;
767 int_v_list200[1]=t24;
768 t1=t66*t24;
769 t3=t1+t2;
770 int_v_list300[2]=t3;
771 t1=t56*t15;
772 t2=t66*t33;
773 t24=t2+t1;
774 int_v_list300[1]=t24;
775 t1=t14*t73;
776 t2=t20*t55;
777 t14=t2+t1;
778 t1=t61*t15;
779 t2=t1+t14;
780 t1=t28*t33;
781 t14=t1+t2;
782 int_v_list300[0]=t14;
783 return 1;}
784