Home
last modified time | relevance | path

Searched defs:dlx (Results 1 – 25 of 106) sorted by relevance

12345

/dports/science/code_saturne/code_saturne-7.1.0/src/atmo/
H A Dchem_solvelu.f9041 subroutine cs_solvlin (kindlu,dla,dlalu,dlx,dlb) argument
56 double precision dlx(nespg), dlb(nespg) local
/dports/cad/ghdl/ghdl-1.0.0/testsuite/vests/vhdl-93/ashenden/compliant/
H A Dch_15_dlx.vhd30 entity dlx is entity
/dports/science/dftbplus/dftbplus-19.1/external/poisson/
H A Dpoisson.F90392 real(kind=dp) :: dlx,dly,dlz local
888 subroutine set_rhs(iparm,fparm,dlx,dly,dlz,rhs,bulk) argument
891 real(kind=dp) :: fparm(8),dlx,dly,dlz local
1012 real(kind=dp) :: dlx,dly,dlz local
1123 real(kind=dp) :: dlx,dly,dlz local
1294 real(kind=dp), intent(in) :: dlx,dly,dlz local
1478 real(kind=dp), intent(in) :: dlx,dly,dlz local
1574 real(kind=dp), intent(in) :: dlx,dly,dlz local
1635 subroutine save_pot(iparm,fparm,dlx,dly,dlz,phi,rhs) argument
1639 real(kind=dp), intent(in) :: dlx,dly,dlz local
[all …]
H A Dfancybc.F90178 subroutine gate_bound(iparm,fparm,dlx,dly,dlz,rhs) argument
182 real(kind=dp) :: dlx,dly,dlz local
428 subroutine cilgate_bound(iparm,fparm,dlx,dly,dlz,rhs) argument
432 real(kind=dp) :: dlx,dly,dlz local
625 subroutine tip_bound(iparm,fparm,dlx,dly,dlz,rhs) argument
629 real(kind=dp) :: dlx,dly,dlz local
/dports/cad/electric/electric-7.00/src/cons/
H A Dcontable.c133 void db_nullconmodifynodeinst(NODEINST *ni, INTBIG dlx, INTBIG dly, INTBIG dhx, INTBIG dhy, INTBIG … in db_nullconmodifynodeinst()
134 void db_nullconmodifynodeinsts(INTBIG count, NODEINST **ni, INTBIG *dlx, INTBIG *dly, INTBIG *dhx, … in db_nullconmodifynodeinsts()
H A Dconlin.c394 REGISTER INTBIG dlx, dhx, dly, dhy; in cli_dosolve() local
463 void cli_linconmodifynodeinst(NODEINST *ni, INTBIG dlx, INTBIG dly, INTBIG dhx, INTBIG dhy, in cli_linconmodifynodeinst()
564 void cli_linconmodifynodeinsts(INTBIG count, NODEINST **ni, INTBIG *dlx, INTBIG *dly, in cli_linconmodifynodeinsts()
H A Dconlay.c342 void cla_layconmodifynodeinst(NODEINST *ni, INTBIG dlx, INTBIG dly, INTBIG dhx, INTBIG dhy, in cla_layconmodifynodeinst()
1527 REGISTER INTBIG dlx, dly, dhx, dhy, flx, fhx, fly, fhy; in cla_computecell() local
1628 REGISTER INTBIG dlx, dhx, dly, dhy, flx, fhx, fly, fhy; in cla_lookdown() local
/dports/graphics/imlib2/imlib2-1.7.0/src/lib/
H A Dasm_rotate.S39 #define dlx -12(%ebp) macro
/dports/science/InsightToolkit/ITK-5.0.1/Modules/Segmentation/DeformableMesh/include/
H A DitkDeformableSimplexMesh3DGradientConstraintForceFilter.hxx100 double d, dlx, dly, dlz; in NextVoxel() local
/dports/math/optpp/optpp-2.4/newmat11/
H A Devalue.C121 Real* dlx = &dl1; i = n-l-1; while (i--) *dlx++ -= h; in tql2() local
245 Real* dlx = &dl1; i = n-l-1; while (i--) *dlx++ -= h; in tql1() local
/dports/math/newmat/newmat-1.1_1/
H A Devalue.cpp125 Real* dlx = &dl1; i = n-l-1; while (i--) *dlx++ -= h; in tql2() local
249 Real* dlx = &dl1; i = n-l-1; while (i--) *dlx++ -= h; in tql1() local
/dports/graphics/ossim/ossim-OrchidIsland-2.11.1/src/matrix/
H A Devalue.cpp121 Real* dlx = &dl1; i = n-l-1; while (i--) *dlx++ -= h; in tql2() local
245 Real* dlx = &dl1; i = n-l-1; while (i--) *dlx++ -= h; in tql1() local
/dports/devel/pecl-swoole/swoole-4.8.5/src/server/
H A Dreactor_process.cc406 Event notify_ev{};
417 Server::close_connection(reactor, conn->socket);
435 ls->socket = swoole::make_socket( in nsvg__squareCap()
/dports/sysutils/u-boot-pine64/u-boot-2021.07/arch/arm/dts/
H A Domap5.dtsi406 clocks = <&dss_clkctrl OMAP5_DSS_CORE_CLKCTRL 8>;
417 ti,sysc-sidle = <SYSC_IDLE_FORCE>,
435 interrupts = <GIC_SPI 55 IRQ_TYPE_LEVEL_HIGH>;
459 hdmi: encoder@0 {
/dports/math/R-cran-stabledist/stabledist/tests/
H A Ddstab-ex.R29 stopifnot((dlx <- diff(log(fx))) < 0, # decreasing globalVar
/dports/games/assaultcube/AssaultCube_v1.2.0.2/source/src/
H A Dshadow.cpp31 dlx, dly, drx, dry; in extrudeshadowtiles() local
/dports/games/xlife/xlife-6.7/
H A Dmain.c902 long dlx, dly, tx, ty; in DoKeyIn() local
/dports/misc/vxl/vxl-3.3.2/contrib/brl/bbas/bpgl/
H A Dbpgl_geotif_camera.hxx463 double dlx = static_cast<double>(lx); in local_to_global() local
494 double dlx, dly, dlz; in global_to_local() local
/dports/print/py-reportlab/reportlab-3.5.68/src/reportlab/graphics/charts/
H A Dlegends.py555 dx=0, dy=0, dly=0, dlx=(0,0)): argument
/dports/games/pioneer/pioneer-20210723/contrib/nanosvg/
H A Dnanosvgrast.h406 float dlx = dy, dly = -dx; in nsvg__initClosed() local
417 float dlx = dy, dly = -dx; in nsvg__buttCap() local
435 float dlx = dy, dly = -dx; in nsvg__squareCap() local
458 float dlx = dy, dly = -dx; in nsvg__roundCap() local
/dports/math/wxmaxima/wxmaxima-Version-20.04.0/src/nanoSVG/
H A Dnanosvgrast.h406 float dlx = dy, dly = -dx; in nsvg__initClosed() local
417 float dlx = dy, dly = -dx; in nsvg__buttCap() local
435 float dlx = dy, dly = -dx; in nsvg__squareCap() local
458 float dlx = dy, dly = -dx; in nsvg__roundCap() local
/dports/emulators/mess/mame-mame0226/3rdparty/nanosvg/src/
H A Dnanosvgrast.h406 float dlx = dy, dly = -dx; in nsvg__initClosed() local
417 float dlx = dy, dly = -dx; in nsvg__buttCap() local
435 float dlx = dy, dly = -dx; in nsvg__squareCap() local
458 float dlx = dy, dly = -dx; in nsvg__roundCap() local
/dports/graphics/aloadimage/arcan-0.6.1/src/frameserver/decode/default/parsers/
H A Dnanosvgrast.h406 float dlx = dy, dly = -dx; in nsvg__initClosed() local
417 float dlx = dy, dly = -dx; in nsvg__buttCap() local
435 float dlx = dy, dly = -dx; in nsvg__squareCap() local
458 float dlx = dy, dly = -dx; in nsvg__roundCap() local
/dports/graphics/aloadimage/arcan-0.6.1/src/tools/trayicon/src/
H A Dnanosvgrast.h401 float dlx = dy, dly = -dx; in nsvg__initClosed() local
412 float dlx = dy, dly = -dx; in nsvg__buttCap() local
430 float dlx = dy, dly = -dx; in nsvg__squareCap() local
453 float dlx = dy, dly = -dx; in nsvg__roundCap() local
/dports/graphics/aloadimage/arcan-0.6.1/src/tools/aloadimage/
H A Dnanosvgrast.h406 float dlx = dy, dly = -dx; in nsvg__initClosed() local
417 float dlx = dy, dly = -dx; in nsvg__buttCap() local
435 float dlx = dy, dly = -dx; in nsvg__squareCap() local
458 float dlx = dy, dly = -dx; in nsvg__roundCap() local

12345