Home
last modified time | relevance | path

Searched defs:enb (Results 1 – 25 of 662) sorted by relevance

12345678910>>...27

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/host/lib/usrp/usrp2/
H A Dclock_ctrl.cpp92 void enable_mimo_clock_out(bool enb) in enable_mimo_clock_out()
148 void enable_rx_dboard_clock(bool enb) in enable_rx_dboard_clock()
201 void enable_tx_dboard_clock(bool enb) in enable_tx_dboard_clock()
277 void enable_test_clock(bool enb) in enable_test_clock()
296 void enable_external_ref(bool enb) in enable_external_ref()
385 void enable_dac_clock(bool enb) in enable_dac_clock()
399 void enable_adc_clock(bool enb) in enable_adc_clock()
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/mpm/python/usrp_mpm/dboard_manager/
H A Dlmk_rh.py319 def enable_tx_lb_lo(self, enb): argument
322 def enable_rx_lb_lo(self, enb): argument
/dports/deskutils/rolo/rolo-011/src/
H A Dentry.c128 entry_node **enb = NULL; in cmp_desc_by_tel() local
151 entry_node **enb = NULL; in cmp_desc_by_email() local
173 entry_node **enb = NULL; in cmp_desc_by_given_n() local
195 entry_node **enb = NULL; in cmp_desc_by_family_n() local
/dports/math/gmp/gmp-6.2.1/mpn/generic/
H A Dsec_powm.c180 win_size (mp_bitcnt_t enb) in win_size()
214 mp_srcptr ep, mp_bitcnt_t enb, in mpn_sec_powm()
360 mpn_sec_powm_itch (mp_size_t bn, mp_bitcnt_t enb, mp_size_t n) in mpn_sec_powm_itch()
/dports/math/kalker/gmp-mpfr-sys-21966f4bfb56c87d407eb14c72e92ef4e55856aa/gmp-6.2.1-c/mpn/generic/
H A Dsec_powm.c180 win_size (mp_bitcnt_t enb) in win_size()
214 mp_srcptr ep, mp_bitcnt_t enb, in mpn_sec_powm()
360 mpn_sec_powm_itch (mp_size_t bn, mp_bitcnt_t enb, mp_size_t n) in mpn_sec_powm_itch()
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/host/tests/
H A Dmb_controller_test.cpp116 void set_clock_source_out(const bool enb) in set_clock_source_out()
121 void set_time_source_out(const bool enb) in set_time_source_out()
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/host/lib/usrp/usrp1/
H A Dusrp1_impl.hpp143 void enable_rx(bool enb) in enable_rx()
148 void enable_tx(bool enb) in enable_tx()
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/host/lib/usrp/b100/
H A Dclock_ctrl.cpp363 void enable_fpga_clock(bool enb) in enable_fpga_clock()
374 void enable_test_clock(bool enb) in enable_test_clock()
388 void enable_rx_dboard_clock(bool enb) in enable_rx_dboard_clock()
427 void enable_tx_dboard_clock(bool enb) in enable_tx_dboard_clock()
/dports/cad/ghdl/ghdl-1.0.0/testsuite/synth/synth111/
H A Drams_sdp_3d.vhd26 enb : in std_logic_vector(NUM_RAMS-1 downto 0); port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/host/lib/usrp/common/
H A Dvalidate_subdev_spec.cpp66 const bool enb = uhd::has(spec, pair); in validate_subdev_spec() local
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/control_lib/
H A Dram_2port.v31 input enb, port
/dports/net/ns3/ns-allinone-3.35/ns-3.35/src/lte/helper/
H A Dpoint-to-point-epc-helper.cc109 PointToPointEpcHelper::AddEnb (Ptr<Node> enb, Ptr<NetDevice> lteEnbNetDevice, uint16_t cellId) in AddEnb()
/dports/science/quantum-espresso/q-e-qe-6.7.0/CPV/src/
H A Dmove_electrons.f9011 cm_bgrp, phi_bgrp, enthal, enb, enbi, fccc, ccc, dt2bye, stress, l_cprestart ) argument
58 REAL(DP) :: enb, enbi local
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/zpu/
H A Dzpu_wb_top.vhd25 enb: in std_logic; port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/opencores/zpu/
H A Dzpu_wb_top.vhd25 enb: in std_logic; port
/dports/multimedia/v4l_compat/linux-5.13-rc2/drivers/media/pci/bt8xx/
H A Ddst_priv.h23 struct dst_gpio_enable enb; member
/dports/cad/nvc/nvc-r1.5.3/test/misc/
H A Dramb_test.vhd18 signal enb : std_logic := '1'; signal
/dports/multimedia/libv4l/linux-5.13-rc2/drivers/media/pci/bt8xx/
H A Ddst_priv.h23 struct dst_gpio_enable enb; member
/dports/multimedia/v4l-utils/linux-5.13-rc2/drivers/media/pci/bt8xx/
H A Ddst_priv.h23 struct dst_gpio_enable enb; member
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/control/
H A Dram_2port_impl.vh25 input wire enb, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/host/lib/usrp/dboard/
H A Ddb_wbx_common.cpp131 void wbx_base::set_rx_enabled(bool enb) in set_rx_enabled()
/dports/math/gap/gap-4.11.0/extern/gmp/mpn/generic/
H A Dsec_powm.c185 win_size (mp_bitcnt_t enb) in win_size()
219 mp_srcptr ep, mp_bitcnt_t enb, in mpn_sec_powm()
376 mpn_sec_powm_itch (mp_size_t bn, mp_bitcnt_t enb, mp_size_t n) in mpn_sec_powm_itch()
/dports/math/mingw32-libgmp/gmp-6.0.0/mpn/generic/
H A Dsec_powm.c225 win_size (mp_bitcnt_t enb) in win_size()
259 mp_srcptr ep, mp_bitcnt_t enb, in mpn_sec_powm()
416 mpn_sec_powm_itch (mp_size_t bn, mp_bitcnt_t enb, mp_size_t n) in mpn_sec_powm_itch()
/dports/cad/ghdl/ghdl-1.0.0/testsuite/synth/issue1244/
H A Dram_protected_sharedvar.vhd77 enb : in std_logic; port
/dports/security/john/john-1.9.0-jumbo-1/src/ztex/fpga-bcrypt/ztex_inouttraffic/
H A Doutput_limit_fifo.v85 wire enb = (!ram_empty_or_limit && (empty || rd_en)); net

12345678910>>...27