Home
last modified time | relevance | path

Searched defs:leds (Results 101 – 125 of 2401) sorted by relevance

12345678910>>...97

/dports/multimedia/v4l_compat/linux-5.13-rc2/arch/arm/boot/dts/
H A Dsocfpga_cyclone5_sodia.dts36 leds: gpio-leds { label
H A Dat91-kizboxmini-common.dtsi57 leds: led-controller-1 { label
H A Domap3-igep0030-common.dtsi12 leds: gpio_leds { label
/dports/multimedia/libv4l/linux-5.13-rc2/arch/arm/boot/dts/
H A Dsocfpga_cyclone5_sodia.dts36 leds: gpio-leds { label
H A Domap3-igep0030-common.dtsi12 leds: gpio_leds { label
/dports/devel/nextpnr/nextpnr-48cd407/generic/examples/
H A Dblinky.v1 module top(input clk, rst, output reg [7:0] leds); port
/dports/sysutils/u-boot-pine64/u-boot-2021.07/arch/arm/dts/
H A Drk3368-lion-haikou-u-boot.dtsi87 };
88
89 &grf {
/dports/cad/yosys/yosys-yosys-0.12/examples/gowin/
H A Ddemo.v3 output [15:0] leds, port
/dports/emulators/qemu42/qemu-4.2.1/roms/u-boot/arch/mips/dts/
H A Dbrcm,bcm6838.dtsi76 leds: led-controller@14e00f00 { label
/dports/multimedia/v4l-utils/linux-5.13-rc2/arch/arm/boot/dts/
H A Domap3-igep0030-common.dtsi12 leds: gpio_leds { label
/dports/emulators/qemu5/qemu-5.2.0/roms/u-boot/arch/mips/dts/
H A Dbrcm,bcm6838.dtsi76 leds: led-controller@14e00f00 { label
/dports/emulators/qemu-utils/qemu-4.2.1/roms/u-boot/arch/mips/dts/
H A Dbrcm,bcm6838.dtsi76 leds: led-controller@14e00f00 { label
/dports/emulators/qemu-guest-agent/qemu-5.0.1/roms/u-boot/arch/mips/dts/
H A Dbrcm,bcm6838.dtsi76 leds: led-controller@14e00f00 { label
/dports/emulators/qemu60/qemu-6.0.0/roms/u-boot/arch/mips/dts/
H A Dbrcm,bcm6838.dtsi76 leds: led-controller@14e00f00 { label
/dports/x11-drivers/xf86-input-keyboard/xf86-input-keyboard-1.9.0/src/
H A Dkbd.c221 unsigned long leds = 0; in UpdateLeds() local
236 unsigned long leds; in KbdCtrl() local
277 unsigned long leds = pKbd->keyLeds; in InitKBD() local
/dports/lang/micropython/micropython-1.17/examples/
H A Dswitch.py28 def run_loop(leds=all_leds): argument
/dports/cad/yosys/yosys-yosys-0.12/examples/basys3/
H A Dexample.v6 reg [15:0] leds; register
/dports/cad/ghdl/ghdl-1.0.0/testsuite/synth/synth60/
H A Dspin1.vhdl4 signal leds : std_ulogic_vector (1 to 5); signal
H A Dleds.vhdl5 entity leds is entity
/dports/sysutils/u-boot-nanopi-r4s/u-boot-2021.07/arch/arm/dts/
H A Dca-presidio-engboard.dts82 leds: led-controller@f43200f0 { label
/dports/sysutils/u-boot-olinuxino-lime/u-boot-2021.07/arch/arm/dts/
H A Dca-presidio-engboard.dts82 leds: led-controller@f43200f0 { label
/dports/sysutils/u-boot-olinuxino-lime2-emmc/u-boot-2021.07/arch/arm/dts/
H A Dca-presidio-engboard.dts82 leds: led-controller@f43200f0 { label
/dports/sysutils/u-boot-olinuxino-lime2/u-boot-2021.07/arch/arm/dts/
H A Dca-presidio-engboard.dts82 leds: led-controller@f43200f0 { label
/dports/devel/zpu-gcc/zpu-toolchain-1.0/toolchain/gcc/libgloss/pa/
H A Dop50nled.c30 static unsigned char *leds = (unsigned char *)LED_ADDR; local
/dports/lang/micropython/micropython-1.17/drivers/nrf24l01/
H A Dnrf24l01test.py139 leds = [pyb.LED(i + 1) for i in range(4)] variable

12345678910>>...97