Home
last modified time | relevance | path

Searched defs:s_axis_tdata (Results 1 – 25 of 42) sorted by relevance

12

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/packet_proc/
H A Daxis_to_cvita.v12 input wire [63:0] s_axis_tdata, port
H A Darm_deframer.v23 input wire [63:0] s_axis_tdata, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/crossbar/
H A Daxis_port_terminator.v17 input wire [DATA_W-1:0] s_axis_tdata, // Input data port
H A Daxis_ctrl_crossbar_nxn.v44 input wire [(NPORTS*WIDTH)-1:0] s_axis_tdata, port
H A Daxis_ingress_vc_buff.v20 input wire [WIDTH-1:0] s_axis_tdata, port
H A Daxis_switch.v25 input wire [(DATA_W*IN_PORTS)-1:0] s_axis_tdata, // Input data port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/crossbar/synth/
H A Dchdr_crossbar_nxn_top.v.in18 (* dont_touch = "true"*) wire [(DWIDTH*NPORTS)-1:0] s_axis_tdata , m_axis_tdata ; net
H A Daxis_ctrl_crossbar_nxn_top.v.in18 (* dont_touch = "true"*) wire [(DWIDTH*NPORTS)-1:0] s_axis_tdata , m_axis_tdata ; net
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/
H A Ddatapath_gatekeeper.v22 input wire [WIDTH-1:0] s_axis_tdata, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/utils/
H A Dchdr_pad_packet.v28 input wire [CHDR_W-1:0] s_axis_tdata, port
H A Dchdr_trim_payload.v26 input wire [CHDR_W-1:0] s_axis_tdata, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/xge_interface/
H A Daxi64_to_xge64.v21 input [63:0] s_axis_tdata, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/axi/
H A Daxis_split.v37 input wire [DATA_W-1:0] s_axis_tdata, port
H A Daxis_upsizer.v33 input wire [IN_DATA_W-1:0] s_axis_tdata, // Input stream tdata port
H A Daxis_downsizer.v33 input wire [(OUT_DATA_W*RATIO)-1:0] s_axis_tdata, // Input stream tdata port
H A Daxis_packet_flush.v45 input wire [WIDTH-1:0] s_axis_tdata, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/packet_proc/arp_responder/test/
H A Darp_responder_test.vhd25 signal s_axis_tdata : std_logic_vector(63 downto 0); signal
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/sim/chdr_stream_endpoint_tb/
H A Dlossy_xport_model.v14 input wire [CHDR_W-1:0] s_axis_tdata, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/sim/fifo/axi_fifo_2clk/
H A Daxi_fifo_2clk_tb.sv12 reg [WIDTH-1:0] s_axis_tdata; register
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/packet_proc/arp_responder/
H A Darp_responder.vhd27 s_axis_tdata : in std_logic_vector(63 downto 0); port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_fir_filter/
H A Drfnoc_fir_filter_core.v86 input wire [DATA_W-1:0] s_axis_tdata, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/crossbar/crossbar_tb/
H A Dchdr_traffic_sink_sim.sv38 input [WIDTH-1:0] s_axis_tdata, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/core/
H A Dchdr_data_swapper.v39 input wire [CHDR_W-1:0] s_axis_tdata, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_keep_one_in_n/
H A Drfnoc_keep_one_in_n.v23 input wire [WIDTH-1:0] s_axis_tdata, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/fifo/
H A Dfifo64_to_axi4lite.v41 input [63:0] s_axis_tdata, port

12