Home
last modified time | relevance | path

Searched refs:e_union_2_state_1 (Results 1 – 16 of 16) sorted by relevance

/dports/cad/verilator/verilator-4.216/test_regress/t/
H A Dt_dpi_result_type.out29 e_union_2_state_1 0
59 e_union_2_state_1 1
89 e_union_2_state_1 2
H A Dt_dpi_arg_input_type.out65 e_union_2_state_1 0
153 e_union_2_state_1 1
241 e_union_2_state_1 2
H A Dt_dpi_arg_output_type.out65 e_union_2_state_1 0
153 e_union_2_state_1 1
241 e_union_2_state_1 2
H A Dt_dpi_arg_inout_type.out65 e_union_2_state_1 0
153 e_union_2_state_1 1
241 e_union_2_state_1 2
H A Dt_dpi_result_type.v186 export "DPI-C" function e_union_2_state_1; function
425 function union_2_state_1 e_union_2_state_1(); function
427 e_union_2_state_1 = n_union_2_state_1[0];
H A Dt_dpi_result_type__Dpi.out49 extern svBitVecVal e_union_2_state_1();
H A Dt_dpi_result_type.cpp349 if (e_union_2_state_1() != (n % 2)) stop(); in check_exports()
H A Dt_dpi_arg_input_type.v270 export "DPI-C" function e_union_2_state_1; function
623 function void e_union_2_state_1(input union_2_state_1 i); function
H A Dt_dpi_arg_output_type.v270 export "DPI-C" function e_union_2_state_1; function
607 function void e_union_2_state_1(output union_2_state_1 o); function
H A Dt_dpi_arg_inout_type.v270 export "DPI-C" function e_union_2_state_1; function
669 function void e_union_2_state_1(inout union_2_state_1 x); function
H A Dt_dpi_arg_inout_type__Dpi.out73 extern void e_union_2_state_1(svBitVecVal* x);
H A Dt_dpi_arg_output_type__Dpi.out73 extern void e_union_2_state_1(svBitVecVal* o);
H A Dt_dpi_arg_input_type__Dpi.out73 extern void e_union_2_state_1(const svBitVecVal* i);
H A Dt_dpi_arg_input_type.cpp711 e_union_2_state_1(b1); in check_exports()
H A Dt_dpi_arg_output_type.cpp841 e_union_2_state_1(x_union_2_state_1); in check_exports()
H A Dt_dpi_arg_inout_type.cpp1153 e_union_2_state_1(x_union_2_state_1); in check_exports()