Home
last modified time | relevance | path

Searched refs:i_array_2_state_1 (Results 1 – 16 of 16) sorted by relevance

/dports/cad/verilator/verilator-4.216/test_regress/t/
H A Dt_dpi_result_type.out10 i_array_2_state_1 0
40 i_array_2_state_1 1
70 i_array_2_state_1 2
H A Dt_dpi_arg_input_type.out9 i_array_2_state_1 0
97 i_array_2_state_1 1
185 i_array_2_state_1 2
H A Dt_dpi_arg_output_type.out9 i_array_2_state_1 0
97 i_array_2_state_1 1
185 i_array_2_state_1 2
H A Dt_dpi_arg_inout_type.out9 i_array_2_state_1 0
97 i_array_2_state_1 1
185 i_array_2_state_1 2
H A Dt_dpi_result_type.v115 import "DPI-C" function bit [ 0:0] i_array_2_state_1 ();
498 if (i_array_2_state_1() !== ~i[0] ) $stop;
H A Dt_dpi_result_type__Dpi.out55 extern svBitVecVal i_array_2_state_1();
H A Dt_dpi_result_type.cpp233 svBitVecVal i_array_2_state_1() { in i_array_2_state_1() function
H A Dt_dpi_arg_input_type.v154 import "DPI-C" function void i_array_2_state_1 (input bit [ 0:0] i);
862 i_array_2_state_1(~i[0]);
H A Dt_dpi_arg_output_type.v154 import "DPI-C" function void i_array_2_state_1 (output bit [ 0:0] o);
934 i_array_2_state_1(x_bit_1); if (x_bit_1 !== ~i[0] ) $stop;
H A Dt_dpi_arg_inout_type.v154 import "DPI-C" function void i_array_2_state_1 (inout bit [ 0:0] x);
1020 … x_bit_1 = ~i[0]; i_array_2_state_1(x_bit_1); if (x_bit_1 !== i[0] ) $stop;
H A Dt_dpi_arg_inout_type__Dpi.out88 extern void i_array_2_state_1(svBitVecVal* x);
H A Dt_dpi_arg_output_type__Dpi.out88 extern void i_array_2_state_1(svBitVecVal* o);
H A Dt_dpi_arg_input_type__Dpi.out88 extern void i_array_2_state_1(const svBitVecVal* i);
H A Dt_dpi_arg_input_type.cpp288 void i_array_2_state_1(CONSTARG svBitVecVal* i) { in i_array_2_state_1() function
H A Dt_dpi_arg_output_type.cpp272 void i_array_2_state_1(svBitVecVal* o) { in i_array_2_state_1() function
H A Dt_dpi_arg_inout_type.cpp322 void i_array_2_state_1(svBitVecVal* x) { in i_array_2_state_1() function