Home
last modified time | relevance | path

Searched refs:i_shortint_t (Results 1 – 12 of 12) sorted by relevance

/dports/cad/verilator/verilator-4.216/test_regress/t/
H A Dt_dpi_result_type.v98 import "DPI-C" function shortint_t i_shortint_t ();
476 if (i_shortint_t() !== 16'd30 - 16'(2*i)) $stop;
H A Dt_dpi_result_type__Dpi.out78 extern short i_shortint_t();
H A Dt_dpi_result_type.cpp149 short i_shortint_t() { in i_shortint_t() function
H A Dt_dpi_arg_input_type.v132 import "DPI-C" function void i_shortint_t (input shortint_t i);
835 i_shortint_t( 16'd30 - 16'(2*i));
H A Dt_dpi_arg_output_type.v132 import "DPI-C" function void i_shortint_t (output shortint_t o);
907i_shortint_t(x_shortint_t); if (x_shortint_t !== 16'd30 - 16'(2*i)) $st…
H A Dt_dpi_arg_inout_type.v132 import "DPI-C" function void i_shortint_t (inout shortint_t x);
993 …x_shortint_t = 16'd30 - 16'(2*i); i_shortint_t(x_shortint_t); if (x_sho…
H A Dt_dpi_arg_inout_type__Dpi.out123 extern void i_shortint_t(short* x);
H A Dt_dpi_arg_output_type__Dpi.out123 extern void i_shortint_t(short* o);
H A Dt_dpi_arg_input_type__Dpi.out123 extern void i_shortint_t(short i);
H A Dt_dpi_arg_input_type.cpp189 void i_shortint_t(short i) { in i_shortint_t() function
H A Dt_dpi_arg_output_type.cpp170 void i_shortint_t(short* o) { in i_shortint_t() function
H A Dt_dpi_arg_inout_type.cpp208 void i_shortint_t(short* x) { in i_shortint_t() function