Home
last modified time | relevance | path

Searched refs:i_union_2_state_1 (Results 1 – 16 of 16) sorted by relevance

/dports/cad/verilator/verilator-4.216/test_regress/t/
H A Dt_dpi_result_type.out14 i_union_2_state_1 0
44 i_union_2_state_1 1
74 i_union_2_state_1 2
H A Dt_dpi_arg_input_type.out21 i_union_2_state_1 0
109 i_union_2_state_1 1
197 i_union_2_state_1 2
H A Dt_dpi_arg_output_type.out21 i_union_2_state_1 0
109 i_union_2_state_1 1
197 i_union_2_state_1 2
H A Dt_dpi_arg_inout_type.out21 i_union_2_state_1 0
109 i_union_2_state_1 1
197 i_union_2_state_1 2
H A Dt_dpi_result_type.v125 import "DPI-C" function union_2_state_1 i_union_2_state_1 ();
508 if (i_union_2_state_1() !== ~i[0] ) $stop;
H A Dt_dpi_result_type__Dpi.out85 extern svBitVecVal i_union_2_state_1();
H A Dt_dpi_result_type.cpp261 svBitVecVal i_union_2_state_1() { in i_union_2_state_1() function
H A Dt_dpi_arg_input_type.v170 import "DPI-C" function void i_union_2_state_1 (input union_2_state_1 i);
878 i_union_2_state_1(~i[0]);
H A Dt_dpi_arg_output_type.v170 import "DPI-C" function void i_union_2_state_1 (output union_2_state_1 o);
950 i_union_2_state_1(x_union_2_state_1); if (x_union_2_state_1 !== ~i[0] ) $stop;
H A Dt_dpi_arg_inout_type.v170 import "DPI-C" function void i_union_2_state_1 (inout union_2_state_1 x);
1036 …x_union_2_state_1 = ~i[0]; i_union_2_state_1(x_union_2_state_1); if (x_union_2_state_…
H A Dt_dpi_arg_inout_type__Dpi.out142 extern void i_union_2_state_1(svBitVecVal* x);
H A Dt_dpi_arg_output_type__Dpi.out142 extern void i_union_2_state_1(svBitVecVal* o);
H A Dt_dpi_arg_input_type__Dpi.out142 extern void i_union_2_state_1(const svBitVecVal* i);
H A Dt_dpi_arg_input_type.cpp376 void i_union_2_state_1(CONSTARG svBitVecVal* i) { in i_union_2_state_1() function
H A Dt_dpi_arg_output_type.cpp360 void i_union_2_state_1(svBitVecVal* o) { in i_union_2_state_1() function
H A Dt_dpi_arg_inout_type.cpp466 void i_union_2_state_1(svBitVecVal* x) { in i_union_2_state_1() function