Home
last modified time | relevance | path

Searched +refs:run +refs:args (Results 1 – 25 of 62164) sorted by relevance

12345678910>>...2487

/dports/devel/cli11/CLI11-2.1.2/tests/
H A DTransformTest.cpp27 run();
37 run();
47 run();
60 run();
66 run();
70 run();
78 run();
89 run();
95 run();
99 run();
[all …]
H A DSetTest.cpp39 run();
51 run();
55 run();
67 run();
71 run();
85 run();
99 run();
116 run();
137 run();
147 run();
[all …]
H A DSubcommandTest.cpp23 run();
26 args = {"sub1"};
27 run();
34 args = {"sub2"};
39 args = {"SUb2"};
42 args = {"SUb2"};
84 args = {"sub1"};
122 args = {"foo"};
410 args = {"2"};
420 args = {"val"};
[all …]
H A DAppTest.cpp14 args = {"-c"};
15 run();
22 args = {"-c"};
23 run();
34 args = {"-c"};
35 run();
39 run();
43 run();
63 run();
74 run();
[all …]
/dports/cad/yosys/yosys-yosys-0.12/techlibs/ice40/
H A Dsynth_ice40.cc151 if (args[argidx] == "-top" && argidx+1 < args.size()) { in execute()
155 if (args[argidx] == "-blif" && argidx+1 < args.size()) { in execute()
156 blif_file = args[++argidx]; in execute()
159 if (args[argidx] == "-edif" && argidx+1 < args.size()) { in execute()
160 edif_file = args[++argidx]; in execute()
163 if (args[argidx] == "-json" && argidx+1 < args.size()) { in execute()
164 json_file = args[++argidx]; in execute()
167 if (args[argidx] == "-run" && argidx+1 < args.size()) { in execute()
199 if (args[argidx] == "-dffe_min_ce_use" && argidx+1 < args.size()) { in execute()
207 if (args[argidx] == "-dsp") { in execute()
[all …]
/dports/cad/yosys/yosys-yosys-0.12/techlibs/ecp5/
H A Dsynth_ecp5.cc144 if (args[argidx] == "-top" && argidx+1 < args.size()) { in execute()
148 if (args[argidx] == "-blif" && argidx+1 < args.size()) { in execute()
149 blif_file = args[++argidx]; in execute()
152 if (args[argidx] == "-edif" && argidx+1 < args.size()) { in execute()
153 edif_file = args[++argidx]; in execute()
156 if (args[argidx] == "-json" && argidx+1 < args.size()) { in execute()
157 json_file = args[++argidx]; in execute()
160 if (args[argidx] == "-run" && argidx+1 < args.size()) { in execute()
176 if (args[argidx] == "-dff") { in execute()
200 if (args[argidx] == "-nolutram" || /*deprecated alias*/ args[argidx] == "-nodram") { in execute()
[all …]
/dports/cad/yosys/yosys-yosys-0.12/techlibs/gatemate/
H A Dsynth_gatemate.cc117 if (args[argidx] == "-top" && argidx+1 < args.size()) { in execute()
121 if (args[argidx] == "-vlog" && argidx+1 < args.size()) { in execute()
122 vlog_file = args[++argidx]; in execute()
125 if (args[argidx] == "-json" && argidx+1 < args.size()) { in execute()
126 json_file = args[++argidx]; in execute()
129 if (args[argidx] == "-run" && argidx+1 < args.size()) { in execute()
141 if (args[argidx] == "-nobram") { in execute()
145 if (args[argidx] == "-noaddf") { in execute()
153 if (args[argidx] == "-nomx4") { in execute()
157 if (args[argidx] == "-nomx8") { in execute()
[all …]
/dports/cad/yosys/yosys-yosys-0.12/techlibs/xilinx/
H A Dsynth_xilinx.cc182 if (args[argidx] == "-top" && argidx+1 < args.size()) { in execute()
186 if ((args[argidx] == "-family" || args[argidx] == "-arch") && argidx+1 < args.size()) { in execute()
187 family = args[++argidx]; in execute()
190 if (args[argidx] == "-edif" && argidx+1 < args.size()) { in execute()
191 edif_file = args[++argidx]; in execute()
194 if (args[argidx] == "-blif" && argidx+1 < args.size()) { in execute()
195 blif_file = args[++argidx]; in execute()
198 if (args[argidx] == "-run" && argidx+1 < args.size()) { in execute()
227 if (args[argidx] == "-ise") { in execute()
250 if (args[argidx] == "-nolutram" || /*deprecated alias*/ args[argidx] == "-nodram") { in execute()
[all …]
/dports/cad/yosys/yosys-yosys-0.12/techlibs/nexus/
H A Dsynth_nexus.cc138 if (args[argidx] == "-top" && argidx+1 < args.size()) { in execute()
142 if (args[argidx] == "-json" && argidx+1 < args.size()) { in execute()
143 json_file = args[++argidx]; in execute()
146 if (args[argidx] == "-vm" && argidx+1 < args.size()) { in execute()
147 vm_file = args[++argidx]; in execute()
150 if (args[argidx] == "-run" && argidx+1 < args.size()) { in execute()
158 if ((args[argidx] == "-family") && argidx+1 < args.size()) { in execute()
159 family = args[++argidx]; in execute()
170 if (args[argidx] == "-dff") { in execute()
174 if (args[argidx] == "-nodsp") { in execute()
[all …]
/dports/cad/yosys/yosys-yosys-0.12/techlibs/gowin/
H A Dsynth_gowin.cc117 if (args[argidx] == "-top" && argidx+1 < args.size()) { in execute()
121 if (args[argidx] == "-vout" && argidx+1 < args.size()) { in execute()
122 vout_file = args[++argidx]; in execute()
125 if (args[argidx] == "-json" && argidx+1 < args.size()) { in execute()
126 json_file = args[++argidx]; in execute()
131 if (args[argidx] == "-run" && argidx+1 < args.size()) { in execute()
139 if (args[argidx] == "-retime") { in execute()
143 if (args[argidx] == "-nobram") { in execute()
147 if (args[argidx] == "-nolutram" || /*deprecated*/args[argidx] == "-nodram") { in execute()
163 if (args[argidx] == "-noalu") { in execute()
[all …]
/dports/cad/yosys/yosys-yosys-0.12/techlibs/intel_alm/
H A Dsynth_intel_alm.cc113 if (args[argidx] == "-family" && argidx + 1 < args.size()) { in execute()
114 family_opt = args[++argidx]; in execute()
117 if (args[argidx] == "-top" && argidx + 1 < args.size()) { in execute()
121 if (args[argidx] == "-vqm" && argidx + 1 < args.size()) { in execute()
123 vout_file = args[++argidx]; in execute()
126 if (args[argidx] == "-run" && argidx + 1 < args.size()) { in execute()
134 if (args[argidx] == "-quartus") { in execute()
142 if (args[argidx] == "-nobram") { in execute()
146 if (args[argidx] == "-nodsp") { in execute()
154 if (args[argidx] == "-dff") { in execute()
[all …]
/dports/cad/yosys/yosys-yosys-0.12/techlibs/common/
H A Dsynth.cc119 if (args[argidx] == "-top" && argidx+1 < args.size()) { in execute()
120 top_module = args[++argidx]; in execute()
123 if (args[argidx] == "-encfile" && argidx+1 < args.size()) { in execute()
127 if (args[argidx] == "-run" && argidx+1 < args.size()) { in execute()
130 run_from = args[++argidx]; in execute()
131 run_to = args[argidx]; in execute()
146 if (args[argidx] == "-lut") { in execute()
150 if (args[argidx] == "-nofsm") { in execute()
154 if (args[argidx] == "-noabc") { in execute()
162 if (args[argidx] == "-nordff") { in execute()
[all …]
/dports/audio/gsequencer/gsequencer-3.10.4/
H A Dags_check_system_functional_programs1 xvfb-run --server-args="-screen 0 1920x1080x24" -a ./ags_check_system_functional_audio_test
2 xvfb-run --server-args="-screen 0 1920x1080x24" -a ./ags_check_system_functional_pitch_test
3 xvfb-run --server-args="-screen 0 1920x1080x24" -a ./ags_check_system_functional_fast_pitch_test
4 xvfb-run --server-args="-screen 0 1920x1080x24" -a ./ags_check_system_functional_fourier_transform_…
6 xvfb-run --server-args="-screen 0 1920x1080x24" -a ./ags_check_system_functional_machine_link_test
10 xvfb-run --server-args="-screen 0 1920x1080x24" -a ./ags_check_system_functional_panel_test
11 xvfb-run --server-args="-screen 0 1920x1080x24" -a ./ags_check_system_functional_mixer_test
12 xvfb-run --server-args="-screen 0 1920x1080x24" -a ./ags_check_system_functional_drum_test
13 xvfb-run --server-args="-screen 0 1920x1080x24" -a ./ags_check_system_functional_matrix_test
14 xvfb-run --server-args="-screen 0 1920x1080x24" -a ./ags_check_system_functional_synth_test
[all …]
/dports/cad/yosys/yosys-yosys-0.12/techlibs/sf2/
H A Dsynth_sf2.cc101 if (args[argidx] == "-top" && argidx+1 < args.size()) { in execute()
105 if (args[argidx] == "-edif" && argidx+1 < args.size()) { in execute()
106 edif_file = args[++argidx]; in execute()
109 if (args[argidx] == "-vlog" && argidx+1 < args.size()) { in execute()
110 vlog_file = args[++argidx]; in execute()
113 if (args[argidx] == "-json" && argidx+1 < args.size()) { in execute()
114 json_file = args[++argidx]; in execute()
117 if (args[argidx] == "-run" && argidx+1 < args.size()) { in execute()
129 if (args[argidx] == "-retime") { in execute()
133 if (args[argidx] == "-noiobs") { in execute()
[all …]
/dports/cad/yosys/yosys-yosys-0.12/techlibs/efinix/
H A Dsynth_efinix.cc93 if (args[argidx] == "-top" && argidx+1 < args.size()) { in execute()
94 top_opt = "-top " + args[++argidx]; in execute()
97 if (args[argidx] == "-edif" && argidx+1 < args.size()) { in execute()
98 edif_file = args[++argidx]; in execute()
101 if (args[argidx] == "-json" && argidx+1 < args.size()) { in execute()
102 json_file = args[++argidx]; in execute()
105 if (args[argidx] == "-run" && argidx+1 < args.size()) { in execute()
113 if (args[argidx] == "-noflatten") { in execute()
117 if (args[argidx] == "-retime") { in execute()
121 if (args[argidx] == "-nobram") { in execute()
[all …]
/dports/cad/yosys/yosys-yosys-0.12/techlibs/intel/
H A Dsynth_intel.cc103 if (args[argidx] == "-family" && argidx + 1 < args.size()) { in execute()
104 family_opt = args[++argidx]; in execute()
107 if (args[argidx] == "-top" && argidx + 1 < args.size()) { in execute()
111 if (args[argidx] == "-vqm" && argidx + 1 < args.size()) { in execute()
112 vout_file = args[++argidx]; in execute()
116 if (args[argidx] == "-vpr" && argidx + 1 < args.size()) { in execute()
117 blif_file = args[++argidx]; in execute()
120 if (args[argidx] == "-run" && argidx + 1 < args.size()) { in execute()
128 if (args[argidx] == "-iopads") { in execute()
132 if (args[argidx] == "-nobram") { in execute()
[all …]
/dports/cad/yosys/yosys-yosys-0.12/techlibs/greenpak4/
H A Dsynth_greenpak4.cc90 if (args[argidx] == "-top" && argidx+1 < args.size()) { in execute()
91 top_opt = "-top " + args[++argidx]; in execute()
94 if (args[argidx] == "-json" && argidx+1 < args.size()) { in execute()
95 json_file = args[++argidx]; in execute()
98 if (args[argidx] == "-part" && argidx+1 < args.size()) { in execute()
99 part = args[++argidx]; in execute()
102 if (args[argidx] == "-run" && argidx+1 < args.size()) { in execute()
107 run_to = args[argidx].substr(pos+1); in execute()
110 if (args[argidx] == "-noflatten") { in execute()
114 if (args[argidx] == "-retime") { in execute()
[all …]
/dports/cad/yosys/yosys-yosys-0.12/techlibs/anlogic/
H A Dsynth_anlogic.cc93 if (args[argidx] == "-top" && argidx+1 < args.size()) { in execute()
94 top_opt = "-top " + args[++argidx]; in execute()
97 if (args[argidx] == "-edif" && argidx+1 < args.size()) { in execute()
98 edif_file = args[++argidx]; in execute()
101 if (args[argidx] == "-json" && argidx+1 < args.size()) { in execute()
102 json_file = args[++argidx]; in execute()
105 if (args[argidx] == "-run" && argidx+1 < args.size()) { in execute()
113 if (args[argidx] == "-noflatten") { in execute()
117 if (args[argidx] == "-nolutram") { in execute()
121 if (args[argidx] == "-retime") { in execute()
[all …]
/dports/devel/dulwich/dulwich-0.19.16/bin/
H A Ddulwich61 def run(self, args):
68 def run(self, args):
85 def run(self, args):
93 def run(self, args):
101 def run(self, args):
115 def run(self, args):
130 def run(self, args):
139 def run(self, args):
154 def run(self, args):
170 def run(self, args):
[all …]
/dports/cad/yosys/yosys-yosys-0.12/techlibs/quicklogic/
H A Dsynth_quicklogic.cc82 for (argidx = 1; argidx < args.size(); argidx++) in execute()
84 if (args[argidx] == "-top" && argidx+1 < args.size()) { in execute()
85 top_opt = "-top " + args[++argidx]; in execute()
88 if (args[argidx] == "-family" && argidx+1 < args.size()) { in execute()
89 family = args[++argidx]; in execute()
92 if (args[argidx] == "-blif" && argidx+1 < args.size()) { in execute()
93 blif_file = args[++argidx]; in execute()
96 if (args[argidx] == "-verilog" && argidx+1 < args.size()) { in execute()
97 verilog_file = args[++argidx]; in execute()
100 if (args[argidx] == "-abc") { in execute()
[all …]
/dports/cad/yosys/yosys-yosys-0.12/techlibs/coolrunner2/
H A Dsynth_coolrunner2.cc85 if (args[argidx] == "-top" && argidx+1 < args.size()) { in execute()
86 top_opt = "-top " + args[++argidx]; in execute()
89 if (args[argidx] == "-json" && argidx+1 < args.size()) { in execute()
90 json_file = args[++argidx]; in execute()
93 if (args[argidx] == "-run" && argidx+1 < args.size()) { in execute()
94 size_t pos = args[argidx+1].find(':'); in execute()
97 run_from = args[++argidx].substr(0, pos); in execute()
98 run_to = args[argidx].substr(pos+1); in execute()
101 if (args[argidx] == "-noflatten") { in execute()
105 if (args[argidx] == "-retime") { in execute()
[all …]
/dports/devel/hadoop/hadoop-1.2.1/src/test/org/apache/hadoop/fs/loadGenerator/
H A DTestLoadGenerator.java77 assertEquals(0, sg.run(args)); in testStructureGenerator()
92 assertEquals(-1, sg.run(args)); in testStructureGenerator()
97 assertEquals(-1, sg.run(args)); in testStructureGenerator()
102 assertEquals(-1, sg.run(args)); in testStructureGenerator()
107 assertEquals(-1, sg.run(args)); in testStructureGenerator()
112 assertEquals(-1, sg.run(args)); in testStructureGenerator()
117 assertEquals(-1, sg.run(args)); in testStructureGenerator()
122 assertEquals(-1, sg.run(args)); in testStructureGenerator()
152 assertEquals(0, dg.run(args)); in testLoadGenerator()
168 assertEquals(0, lg.run(args)); in testLoadGenerator()
[all …]
/dports/cad/yosys/yosys-yosys-0.12/techlibs/machxo2/
H A Dsynth_machxo2.cc98 if (args[argidx] == "-top" && argidx+1 < args.size()) { in execute()
102 if (args[argidx] == "-blif" && argidx+1 < args.size()) { in execute()
106 if (args[argidx] == "-edif" && argidx+1 < args.size()) { in execute()
110 if (args[argidx] == "-json" && argidx+1 < args.size()) { in execute()
114 if (args[argidx] == "-run" && argidx+1 < args.size()) { in execute()
164 run("proc"); in script()
165 run("flatten"); in script()
167 run("deminout"); in script()
202 run("clean"); in script()
208 run("clean"); in script()
[all …]
/dports/www/chromium-legacy/chromium-88.0.4324.182/third_party/catapult/third_party/pipeline/pipeline/
H A Dcommon.py42 def run(self, *args): argument
56 def run(self, *args): argument
74 def run(self, *args): argument
86 def run(self, *args): argument
96 def run(self, *args): argument
111 def run(self, *args): argument
123 def run(self, *args): argument
135 def run(self, *args): argument
147 def run(self, *args): argument
162 def run(self, *args): argument
[all …]
/dports/cad/yosys/yosys-yosys-0.12/techlibs/achronix/
H A Dsynth_achronix.cc82 if (args[argidx] == "-top" && argidx+1 < args.size()) { in execute()
83 top_opt = "-top " + args[++argidx]; in execute()
86 if (args[argidx] == "-vout" && argidx+1 < args.size()) { in execute()
87 vout_file = args[++argidx]; in execute()
90 if (args[argidx] == "-run" && argidx+1 < args.size()) { in execute()
91 size_t pos = args[argidx+1].find(':'); in execute()
94 run_from = args[++argidx].substr(0, pos); in execute()
95 run_to = args[argidx].substr(pos+1); in execute()
98 if (args[argidx] == "-noflatten") { in execute()
102 if (args[argidx] == "-retime") { in execute()
[all …]

12345678910>>...2487