/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/x300/ |
H A D | x300.xdc | 14 set_property PACKAGE_PIN AA3 [get_ports SFP0_RX_n] 15 set_property PACKAGE_PIN AA4 [get_ports SFP0_RX_p] 18 set_property PACKAGE_PIN Y1 [get_ports SFP0_TX_n] 19 set_property PACKAGE_PIN Y2 [get_ports SFP0_TX_p] 22 set_property PACKAGE_PIN T5 [get_ports SFP1_RX_n] 23 set_property PACKAGE_PIN T6 [get_ports SFP1_RX_p] 26 set_property PACKAGE_PIN P1 [get_ports SFP1_TX_n] 27 set_property PACKAGE_PIN P2 [get_ports SFP1_TX_p] 385 set_property PACKAGE_PIN AD18 [get_ports IoRxClock] 474 set_property IOSTANDARD LVTTL [get_ports aIrq] [all …]
|
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/e320/ |
H A D | mb_pins.xdc | 13 set_property PACKAGE_PIN AK15 [get_ports {ENA_PAPWR}] 14 set_property IOSTANDARD LVCMOS33 [get_ports {ENA_PAPWR}] 32 set_property PACKAGE_PIN V5 [get_ports {SFP1_RX_N}] 33 set_property PACKAGE_PIN V6 [get_ports {SFP1_RX_P}] 34 set_property PACKAGE_PIN T1 [get_ports {SFP1_TX_N}] 35 set_property PACKAGE_PIN T2 [get_ports {SFP1_TX_P}] 50 set_property PACKAGE_PIN AJ18 [get_ports {SFP1_RS0}] 51 set_property PACKAGE_PIN AK16 [get_ports {SFP1_RS1}] 52 set_property IOSTANDARD LVCMOS33 [get_ports {SFP1_RS*}] 57 set_property PACKAGE_PIN AB16 [get_ports {LED_ACT1}] [all …]
|
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/e31x/ |
H A D | e31x_pins.xdc | 7 set_property PACKAGE_PIN H19 [get_ports {TX_BANDSEL[2]}] 29 set_property PACKAGE_PIN E20 [get_ports VCTXRX2_V2] 37 set_property PACKAGE_PIN G22 [get_ports TX_ENABLE1A] 65 #set_property PACKAGE_PIN J21 [get_ports DB_SCL] 73 #set_property PACKAGE_PIN J22 [get_ports DB_SDA] 109 set_property PACKAGE_PIN E18 [get_ports VCRX1_V1] 117 set_property PACKAGE_PIN F18 [get_ports VCRX1_V2] 121 set_property PACKAGE_PIN M20 [get_ports TCXO_CLK] 126 set_property PACKAGE_PIN F17 [get_ports VCRX2_V1] 201 set_property PACKAGE_PIN W6 [get_ports CAT_CS] [all …]
|
H A D | e31x_idle_pins.xdc | 7 set_property PACKAGE_PIN H19 [get_ports {DB_IO[0]}] 8 set_property IOSTANDARD LVCMOS33 [get_ports {DB_IO[0]}] 14 set_property PACKAGE_PIN F19 [get_ports {DB_IO[1]}] 21 set_property PACKAGE_PIN G19 [get_ports {DB_IO[2]}] 25 set_property PACKAGE_PIN E19 [get_ports {DB_IO[3]}] 29 set_property PACKAGE_PIN E20 [get_ports {DB_IO[4]}] 33 set_property PACKAGE_PIN G21 [get_ports {DB_IO[5]}] 65 #set_property PACKAGE_PIN J21 [get_ports {}] 66 #set_property IOSTANDARD LVCMOS18 [get_ports {}] 73 #set_property PACKAGE_PIN J22 [get_ports {}] [all …]
|
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/ |
H A D | mb_pins.xdc | 13 set_property PACKAGE_PIN AF25 [get_ports {FPGA_GPIO[0]}] 14 set_property PACKAGE_PIN AE25 [get_ports {FPGA_GPIO[1]}] 15 set_property PACKAGE_PIN AG26 [get_ports {FPGA_GPIO[2]}] 16 set_property PACKAGE_PIN AG27 [get_ports {FPGA_GPIO[3]}] 17 set_property PACKAGE_PIN AE26 [get_ports {FPGA_GPIO[4]}] 18 set_property PACKAGE_PIN AB26 [get_ports {FPGA_GPIO[5]}] 19 set_property PACKAGE_PIN AF27 [get_ports {FPGA_GPIO[6]}] 20 set_property PACKAGE_PIN AA27 [get_ports {FPGA_GPIO[7]}] 66 set_property PACKAGE_PIN AA18 [get_ports WB_20MHZ_P] 93 set_property PACKAGE_PIN W30 [get_ports GPS_1PPS] [all …]
|
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/dboards/rh/ |
H A D | db_pins.xdc | 129 set_property IOSTANDARD LVCMOS18 $UsrpIoAHpPinsSe 130 set_property DRIVE 6 $UsrpIoAHpPinsSe 131 set_property SLEW SLOW $UsrpIoAHpPinsSe 135 set_property IOSTANDARD LVCMOS25 $UsrpIoAHrPinsSeDr4 136 set_property DRIVE 4 $UsrpIoAHrPinsSeDr4 137 set_property SLEW SLOW $UsrpIoAHrPinsSeDr4 152 set_property IOSTANDARD LVDS_25 $UsrpIoAHrPinsDiff 153 set_property DIFF_TERM TRUE $UsrpIoAHrPinsDiff 256 set_property IOSTANDARD LVCMOS18 $UsrpIoBHpPinsSe 257 set_property DRIVE 6 $UsrpIoBHpPinsSe [all …]
|
/dports/graphics/photoflow/PhotoFlow-8472024f/src/operations/ |
H A D | relight.cc | 53 par->set_property( "shadows_range", 5.0f ); in RelightPar() 56 par->set_property( "constrast", 0.0f ); in RelightPar() 59 par->set_property( "sh_radius", 128 ); in RelightPar() 60 par->set_property( "sh_threshold", 0.1 ); in RelightPar() 69 par->set_property( "LE_gain", 1 ); in RelightPar() 109 par->set_property( "sh_radius", 128 ); in propagate_settings() 118 par->set_property( "LE_gain", 1 ); in propagate_settings() 161 par->set_property( "LE_gain", 1 ); in pre_build() 203 par->set_property( "constrast", 0.0f ); in build() 206 par->set_property( "sh_radius", 128 ); in build() [all …]
|
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/dboards/mg/ |
H A D | db0_pins.xdc | 28 # set_property PACKAGE_PIN E1 [get_ports {nc}] 45 # set_property PACKAGE_PIN B1 [get_ports {nc}] 108 set_property IOSTANDARD LVCMOS18 $UsrpIoAHpPinsSe 109 set_property DRIVE 4 $UsrpIoAHpPinsSe 110 set_property SLEW SLOW $UsrpIoAHpPinsSe 119 set_property IOSTANDARD LVCMOS25 $UsrpIoAHrPinsSe 120 set_property DRIVE 4 $UsrpIoAHrPinsSe 121 set_property SLEW SLOW $UsrpIoAHrPinsSe 125 set_property IOSTANDARD LVDS_25 $UsrpIoAHrPinsDiff 126 set_property DIFF_TERM TRUE $UsrpIoAHrPinsDiff [all …]
|
H A D | db1_pins.xdc | 28 # set_property PACKAGE_PIN D3 [get_ports {nc}] 45 # set_property PACKAGE_PIN F2 [get_ports {nc}] 108 set_property IOSTANDARD LVCMOS18 $UsrpIoBHpPinsSe 109 set_property DRIVE 4 $UsrpIoBHpPinsSe 110 set_property SLEW SLOW $UsrpIoBHpPinsSe 119 set_property IOSTANDARD LVCMOS25 $UsrpIoBHrPinsSe 120 set_property DRIVE 4 $UsrpIoBHrPinsSe 121 set_property SLEW SLOW $UsrpIoBHrPinsSe 125 set_property IOSTANDARD LVDS_25 $UsrpIoBHrPinsDiff 126 set_property DIFF_TERM TRUE $UsrpIoBHrPinsDiff [all …]
|
/dports/devel/nextpnr/nextpnr-48cd407/tests/ice40/regressions/issue0148/hdl/ |
H A D | nexys4.xdc | 3 set_property PACKAGE_PIN E3 [get_ports clk] 4 set_property IOSTANDARD LVCMOS33 [get_ports clk] 18 set_property PACKAGE_PIN T8 [get_ports {led[0]}] 20 set_property PACKAGE_PIN V9 [get_ports {led[1]}] 22 set_property PACKAGE_PIN R8 [get_ports {led[2]}] 24 set_property PACKAGE_PIN T6 [get_ports {led[3]}] 26 set_property PACKAGE_PIN T5 [get_ports {led[4]}] 28 set_property PACKAGE_PIN T4 [get_ports {led[5]}] 30 set_property PACKAGE_PIN U7 [get_ports {led[6]}] 32 set_property PACKAGE_PIN U6 [get_ports {led[7]}] [all …]
|
/dports/www/qt5-webengine/qtwebengine-everywhere-src-5.15.2/src/3rdparty/chromium/net/data/gencerts/ |
H A D | __init__.py | 410 section.set_property('encrypt_key', 'no') 411 section.set_property('utf8', 'yes') 413 section.set_property('prompt', 'no') 449 section.set_property('default_ca', 'root_ca') 456 section.set_property('unique_subject', 'no') 459 section.set_property('default_days', '365') 460 section.set_property('default_md', 'sha256') 463 section.set_property('email_in_dn', 'no') 464 section.set_property('preserve', 'yes') 466 section.set_property('cert_opt', 'ca_default') [all …]
|
/dports/www/chromium-legacy/chromium-88.0.4324.182/net/data/gencerts/ |
H A D | __init__.py | 411 section.set_property('encrypt_key', 'no') 412 section.set_property('utf8', 'yes') 414 section.set_property('prompt', 'no') 450 section.set_property('default_ca', 'root_ca') 457 section.set_property('unique_subject', 'no') 460 section.set_property('default_days', '365') 461 section.set_property('default_md', 'sha256') 464 section.set_property('email_in_dn', 'no') 465 section.set_property('preserve', 'yes') 467 section.set_property('cert_opt', 'ca_default') [all …]
|
/dports/audio/traverso/traverso-0.49.6/src/traverso/dialogs/settings/ |
H A D | Pages.cpp | 103 config().set_property("Hardware", "capture", capture); in save_config() 128 config().set_property("Hardware", "buffersize", 512); in reset_default_config() 153 config().set_property("Hardware", "capture", 1); in reset_default_config() 154 config().set_property("Hardware", "playback", 1); in reset_default_config() 156 config().set_property("Hardware", "jackslave", false); in reset_default_config() 510 config().set_property("Themer", "iconsize", "22"); in reset_default_config() 702 config().set_property("PlayHead", "Follow", 0); in reset_default_config() 703 config().set_property("PlayHead", "Scrollmode", 2); in reset_default_config() 752 config().set_property("CCE", "keymap", newkeymap); in save_config() 764 config().set_property("CCE", "holdTimeout", 150); in reset_default_config() [all …]
|
/dports/devel/cmake-gui/cmake-3.22.1/Tests/RunCMake/CompatibleInterface/ |
H A D | DebugProperties.cmake | 10 set_property(TARGET iface1 APPEND PROPERTY 20 set_property(TARGET iface1 APPEND PROPERTY 27 set_property(TARGET iface1 APPEND PROPERTY 32 set_property(TARGET iface1 APPEND PROPERTY 45 set_property(TARGET iface1 PROPERTY INTERFACE_BOOL_PROP1 ON) 46 set_property(TARGET iface1 PROPERTY INTERFACE_BOOL_PROP2 ON) 47 set_property(TARGET iface1 PROPERTY INTERFACE_BOOL_PROP5 OFF) 48 set_property(TARGET iface1 PROPERTY INTERFACE_BOOL_PROP6 OFF) 49 set_property(TARGET iface1 PROPERTY INTERFACE_BOOL_PROP7 OFF) 58 set_property(TARGET iface2 PROPERTY INTERFACE_BOOL_PROP6 OFF) [all …]
|
/dports/devel/cmake/cmake-3.22.1/Tests/RunCMake/CompatibleInterface/ |
H A D | DebugProperties.cmake | 10 set_property(TARGET iface1 APPEND PROPERTY 20 set_property(TARGET iface1 APPEND PROPERTY 27 set_property(TARGET iface1 APPEND PROPERTY 32 set_property(TARGET iface1 APPEND PROPERTY 45 set_property(TARGET iface1 PROPERTY INTERFACE_BOOL_PROP1 ON) 46 set_property(TARGET iface1 PROPERTY INTERFACE_BOOL_PROP2 ON) 47 set_property(TARGET iface1 PROPERTY INTERFACE_BOOL_PROP5 OFF) 48 set_property(TARGET iface1 PROPERTY INTERFACE_BOOL_PROP6 OFF) 49 set_property(TARGET iface1 PROPERTY INTERFACE_BOOL_PROP7 OFF) 58 set_property(TARGET iface2 PROPERTY INTERFACE_BOOL_PROP6 OFF) [all …]
|
/dports/devel/cmake-doc/cmake-3.22.1/Tests/RunCMake/CompatibleInterface/ |
H A D | DebugProperties.cmake | 10 set_property(TARGET iface1 APPEND PROPERTY 20 set_property(TARGET iface1 APPEND PROPERTY 27 set_property(TARGET iface1 APPEND PROPERTY 32 set_property(TARGET iface1 APPEND PROPERTY 45 set_property(TARGET iface1 PROPERTY INTERFACE_BOOL_PROP1 ON) 46 set_property(TARGET iface1 PROPERTY INTERFACE_BOOL_PROP2 ON) 47 set_property(TARGET iface1 PROPERTY INTERFACE_BOOL_PROP5 OFF) 48 set_property(TARGET iface1 PROPERTY INTERFACE_BOOL_PROP6 OFF) 49 set_property(TARGET iface1 PROPERTY INTERFACE_BOOL_PROP7 OFF) 58 set_property(TARGET iface2 PROPERTY INTERFACE_BOOL_PROP6 OFF) [all …]
|
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/x300/ip/one_gig_eth_pcs_pma/ |
H A D | one_gige_phy.xdc | 40 #set_property LOC H6 [get_ports gtrefclk_p] 41 #set_property LOC H5 [get_ports gtrefclk_n] 126 set_property SLEW FAST [get_ports {gmii_rxd[*]}] 127 set_property SLEW FAST [get_ports gmii_rx_dv] 128 set_property SLEW FAST [get_ports gmii_rx_er] 129 set_property SLEW FAST [get_ports gmii_rx_clk] 135 #set_property IOB TRUE [get_cells gmii_txd_IBUF*] 136 #set_property IOB TRUE [get_cells gmii_tx_en_IBUF*] 137 #set_property IOB TRUE [get_cells gmii_tx_er_IBUF*] 142 #set_property IOB TRUE [get_cells gmii_rxd_obuf_reg*] [all …]
|
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/ip/one_gig_eth_pcs_pma/ |
H A D | one_gige_phy.xdc | 40 #set_property LOC H6 [get_ports gtrefclk_p] 41 #set_property LOC H5 [get_ports gtrefclk_n] 126 set_property SLEW FAST [get_ports {gmii_rxd[*]}] 127 set_property SLEW FAST [get_ports gmii_rx_dv] 128 set_property SLEW FAST [get_ports gmii_rx_er] 129 set_property SLEW FAST [get_ports gmii_rx_clk] 135 #set_property IOB TRUE [get_cells gmii_txd_IBUF*] 136 #set_property IOB TRUE [get_cells gmii_tx_en_IBUF*] 137 #set_property IOB TRUE [get_cells gmii_tx_er_IBUF*] 142 #set_property IOB TRUE [get_cells gmii_rxd_obuf_reg*] [all …]
|
/dports/devel/cmake/cmake-3.22.1/Tests/CompatibleInterface/ |
H A D | CMakeLists.txt | 10 set_property(TARGET iface1 APPEND PROPERTY 17 set_property(TARGET iface1 APPEND PROPERTY 23 set_property(TARGET iface1 APPEND PROPERTY 30 set_property(TARGET iface1 APPEND PROPERTY 43 set_property(TARGET iface1 PROPERTY INTERFACE_BOOL_PROP1 ON) 60 set_property(TARGET foo PROPERTY INTERFACE_SOMEPROP ON) 97 set_property(TARGET iface2 APPEND PROPERTY 104 set_property(TARGET iface2 121 set_property(TARGET iface1 PROPERTY 124 set_property(TARGET iface2 PROPERTY [all …]
|
/dports/audio/faust/faust-2.37.3/tools/faust2fpga/src/ |
H A D | master.xdc | 2 set_property -dict { PACKAGE_PIN K17 IOSTANDARD LVCMOS33 } [get_ports { sys_clk }]; #IO_L12P_T1_M… 6 set_property -dict { PACKAGE_PIN G15 IOSTANDARD LVCMOS33 } [get_ports { in_mute }]; #IO_L19N_T3_V… 7 set_property -dict { PACKAGE_PIN P15 IOSTANDARD LVCMOS33 } [get_ports { bypass_dsp }]; #IO_L24P_T… 8 set_property -dict { PACKAGE_PIN W13 IOSTANDARD LVCMOS33 } [get_ports { bypass_faust }]; #IO_L24P… 12 set_property -dict { PACKAGE_PIN M14 IOSTANDARD LVCMOS33 } [get_ports { i2c_done }]; #IO_L23P_T3… 13 set_property -dict { PACKAGE_PIN M15 IOSTANDARD LVCMOS33 } [get_ports { ack_error }]; #IO_L23N_T3… 14 #set_property -dict { PACKAGE_PIN G14 IOSTANDARD LVCMOS33 } [get_ports { readout[1] }]; #IO_0_35 … 18 set_property -dict { PACKAGE_PIN R19 IOSTANDARD LVCMOS33 } [get_ports { bclk }]; #IO_0_34 Sch=ac_… 22 set_property -dict { PACKAGE_PIN T19 IOSTANDARD LVCMOS33 } [get_ports { ws_tx }]; #IO_25_34 Sch=a… 26 set_property -dict { PACKAGE_PIN N17 IOSTANDARD LVCMOS33 } [get_ports { sdin }]; #IO_L23P_T3_34 S… [all …]
|
/dports/cad/yosys/yosys-yosys-0.12/examples/basys3/ |
H A D | example.xdc | 2 set_property -dict { IOSTANDARD LVCMOS33 PACKAGE_PIN W5 } [get_ports CLK] 3 set_property -dict { IOSTANDARD LVCMOS33 PACKAGE_PIN U16 } [get_ports {LD[0]}] 4 set_property -dict { IOSTANDARD LVCMOS33 PACKAGE_PIN E19 } [get_ports {LD[1]}] 5 set_property -dict { IOSTANDARD LVCMOS33 PACKAGE_PIN U19 } [get_ports {LD[2]}] 6 set_property -dict { IOSTANDARD LVCMOS33 PACKAGE_PIN V19 } [get_ports {LD[3]}] 7 set_property -dict { IOSTANDARD LVCMOS33 PACKAGE_PIN W18 } [get_ports {LD[4]}] 8 set_property -dict { IOSTANDARD LVCMOS33 PACKAGE_PIN U15 } [get_ports {LD[5]}] 9 set_property -dict { IOSTANDARD LVCMOS33 PACKAGE_PIN U14 } [get_ports {LD[6]}] 10 set_property -dict { IOSTANDARD LVCMOS33 PACKAGE_PIN V14 } [get_ports {LD[7]}] 22 set_property CONFIG_VOLTAGE 3.3 [current_design] [all …]
|
/dports/devel/cmake-doc/cmake-3.22.1/Tests/RunCMake/set_property/ |
H A D | Common.cmake | 3 set_property(TARGET CustomTarget PROPERTY ${PROP} x) 4 set_property(TARGET CustomTarget PROPERTY ${PROP}) 6 set_property(TARGET CustomTarget PROPERTY ${PROP} a) 13 set_property(TARGET CustomTarget PROPERTY ${PROP}) 17 set_property(DIRECTORY PROPERTY ${PROP} x) 18 set_property(DIRECTORY PROPERTY ${PROP}) 19 set_property(DIRECTORY APPEND PROPERTY ${PROP}) 20 set_property(DIRECTORY PROPERTY ${PROP} a) 21 set_property(DIRECTORY APPEND PROPERTY ${PROP} "") 23 set_property(DIRECTORY APPEND PROPERTY ${PROP}) [all …]
|
/dports/devel/cmake-gui/cmake-3.22.1/Tests/RunCMake/set_property/ |
H A D | Common.cmake | 3 set_property(TARGET CustomTarget PROPERTY ${PROP} x) 4 set_property(TARGET CustomTarget PROPERTY ${PROP}) 6 set_property(TARGET CustomTarget PROPERTY ${PROP} a) 13 set_property(TARGET CustomTarget PROPERTY ${PROP}) 17 set_property(DIRECTORY PROPERTY ${PROP} x) 18 set_property(DIRECTORY PROPERTY ${PROP}) 19 set_property(DIRECTORY APPEND PROPERTY ${PROP}) 20 set_property(DIRECTORY PROPERTY ${PROP} a) 21 set_property(DIRECTORY APPEND PROPERTY ${PROP} "") 23 set_property(DIRECTORY APPEND PROPERTY ${PROP}) [all …]
|
/dports/devel/cmake/cmake-3.22.1/Tests/RunCMake/set_property/ |
H A D | Common.cmake | 3 set_property(TARGET CustomTarget PROPERTY ${PROP} x) 4 set_property(TARGET CustomTarget PROPERTY ${PROP}) 6 set_property(TARGET CustomTarget PROPERTY ${PROP} a) 13 set_property(TARGET CustomTarget PROPERTY ${PROP}) 17 set_property(DIRECTORY PROPERTY ${PROP} x) 18 set_property(DIRECTORY PROPERTY ${PROP}) 19 set_property(DIRECTORY APPEND PROPERTY ${PROP}) 20 set_property(DIRECTORY PROPERTY ${PROP} a) 21 set_property(DIRECTORY APPEND PROPERTY ${PROP} "") 23 set_property(DIRECTORY APPEND PROPERTY ${PROP}) [all …]
|
/dports/graphics/goocanvasmm2/goocanvasmm-1.90.9/examples/demo/ |
H A D | primitives.cc | 126 item->set_property("line_width", 4.0); in _setup_divisions() 134 item->set_property("line_width", 4.0); in _setup_divisions() 142 item->set_property("line_width", 4.0); in _setup_divisions() 150 item->set_property("line_width", 4.0); in _setup_divisions() 158 item->set_property("line_width", 4.0); in _setup_divisions() 233 rect->set_property("radius_x", 20.0); in _setup_rectangles() 234 rect->set_property("radius_y", 10.0); in _setup_rectangles() 394 img->set_property("width", w); in _setup_images() 395 img->set_property("height", h); in _setup_images() 542 img->set_property("width", w); in _create_flower() [all …]
|