Home
last modified time | relevance | path

Searched refs:wea (Results 1 – 25 of 234) sorted by relevance

12345678910

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/control/
H A Dram_2port.v64 input wire wea, port
83 .clka(clka), .ena(ena), .wea(wea), .addra(addra), .dia(dia), .doa(doa),
91 .clka(clka), .ena(ena), .wea(wea), .addra(addra), .dia(dia), .doa(doa),
99 .clka(clka), .ena(ena), .wea(wea), .addra(addra), .dia(dia), .doa(doa),
107 .clka(clka), .ena(ena), .wea(wea), .addra(addra), .dia(dia), .doa(doa),
115 .clka(clka), .ena(ena), .wea(wea), .addra(addra), .dia(dia), .doa(doa),
H A Dram_2port_impl.vh19 input wire wea, port
71 if (wea)
88 if (wea) begin
112 if (wea)
/dports/cad/yosys/yosys-yosys-0.12/techlibs/gatemate/
H A Dcells_sim.v657 wire wea = A_WE_INV ^ A_WE; net
737 if (enb && !wea) A_DO_out[k] <= memory[addrb+k];
740 if (enb && !wea) B_DO_out[k-20] <= memory[addrb+k];
750 if (ena && wea && A_BM[i]) memory[addra+i] <= A_DI[i];
753 if (ena && !wea) A_DO_out[i] <= memory[addra+i];
757 if (wea && A_BM[i]) begin
1192 wire wea = A_WE_INV ^ A_WE; net
1278 if (enb && !wea) A_DO_out[k] <= memory[addrb+k];
1281 if (enb && !wea) B_DO_out[k-40] <= memory[addrb+k];
1322 if (ena && wea && A_BM[i])
[all …]
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/ip_cores/general-cores/modules/wishbone/wb_lm32/src/
H A Dlm32_ram.vhd28 signal wea : std_logic; signal
38 wea <= enable_write and write_enable;
48 wea_i => wea,
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/fosphor/
H A Df15_histo_mem.v121 .wea(even_wea),
138 .wea(odd_wea),
158 input wire wea, port
229 assign ramb_wea[i] = onehota[i] & wea;
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/control_lib/
H A Dram_2port.v25 input wea, port
46 if (wea)
H A Ddouble_buffer.v69 (.clka(clk),.ena(access_stb & access_ok & (access_ptr == 0)),.wea(access_we),
75 (.clka(clk),.ena(access_stb & access_ok & (access_ptr == 1)),.wea(access_we),
H A Dlongfifo.v58 .wea(write),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/zpu/
H A Dzpu_bootram.v54 .wea(ram0_wea),
62 .wea(ram1_wea),
/dports/cad/ghdl/ghdl-1.0.0/testsuite/synth/synth111/
H A Drams_sdp_3d.vhd24 wea : in std_logic_vector(NUM_RAMS-1 downto 0); port
42 if(wea(i) = '1') then
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/fifo/
H A Dbuffer_int2.v170 (.clka(wb_clk_i),.ena(wb_stb_i),.wea(1'b0),
176 (.clka(wb_clk_i),.ena(wb_stb_i),.wea(wb_we_i),
H A Dfifo_long.v65 .wea(write),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/
H A Dfft_shift.v67 .clka(clk),.ena(1'b1),.wea(ping_wr_en),.addra(ping_wr_addr),.dia(i_tdata),.doa(),
74 .clka(clk),.ena(1'b1),.wea(pong_wr_en),.addra(pong_wr_addr),.dia(i_tdata),.doa(),
H A Dram_to_fifo.v38 …(.clka(clk), .ena(1'b1), .wea(config_tvalid), .addra(write_addr), .dia(config_tdata), .doa(), // W…
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/wishbone/
H A Daxi_stream_to_wb.v119 .clka(clk_i), .ena(rx_tready), .wea(rx_tvalid),
140 .clka(clk_i), .ena(enb_out), .wea(1'b0),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/packet_proc/
H A Dcvita_dest_lookup.v26 .clka(clk), .ena(1'b1), .wea(set_stb), .addra(set_addr), .dia(set_data), .doa(),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/packet_proc_200/
H A Dcvita_dest_lookup_legacy.v26 .clka(clk), .ena(1'b1), .wea(set_stb), .addra(set_addr), .dia(set_data), .doa(),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/serdes/
H A Dserdes_tb.v67 … ram_tx(.clka(clk),.ena(wb_en_tx),.wea(wb_we_tx),.addra(wb_adr),.dia(wb_dat_i),.doa(wb_dat_o_tx),
101 … ram_rx(.clka(clk),.ena(wb_en_rx),.wea(wb_we_rx),.addra(wb_adr),.dia(wb_dat_i),.doa(wb_dat_o_rx),
/dports/science/py-pyscf/pyscf-2.0.1/examples/pbc/
H A D24-k_points_vs_gamma.py40 eea, wea = mycc.eaccsd(nroots=2) variable
/dports/cad/yosys/yosys-yosys-0.12/techlibs/anlogic/
H A Deagle_bb.v283 input wea, port
372 input wea, port
975 input wea, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/custom/
H A Dpower_trig.v84 (.clka(clk),.ena(1),.wea(ddc_out_strobe),.addra(wr_addr),.dia(ddc_out_sample),.doa(),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/dsp/
H A Dvariable_delay_line.v134 .clka (clk), .ena(clk_en), .wea(w_en),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/gpmc/
H A Dfifo_to_gpmc.v155 (.clka(clk),.ena(1'b1),.wea(src_rdy_i && dst_rdy_o),
H A Dgpmc_to_fifo.v160 (.clka(~EM_CLK),.ena(1'b1),.wea(EM_WE),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/fifo/
H A Daxi_fifo_bram.v58 .wea(write),

12345678910