Home
last modified time | relevance | path

Searched refs:set_data (Results 1 – 25 of 3064) sorted by relevance

12345678910>>...123

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/sdr_lib/
H A Dhb_tb.v33 reg [31:0] set_data; register
62 .set_stb(set_stb), .set_addr(set_addr), .set_data(set_data),
75 set_data <= -18'd49;
77 set_data <= 18'd165;
79 set_data <= -18'd412;
81 set_data <= 18'd873;
83 set_data <= -18'd1681;
85 set_data <= 18'd3135;
87 set_data <= -18'd6282;
89 set_data <= 18'd20628;
[all …]
H A Drx_frontend.v6 input set_stb, input [7:0] set_addr, input [31:0] set_data, port
23 .in(set_data),.out(swap_iq),.changed());
33 .in(set_data),.out(mag_corr),.changed());
37 .in(set_data),.out(phase_corr),.changed());
49 (.clk(clk),.rst(rst),.set_stb(set_stb),.set_addr(set_addr),.set_data(set_data),
53 (.clk(clk),.rst(rst),.set_stb(set_stb),.set_addr(set_addr),.set_data(set_data),
75 (.clk(clk),.rst(rst),.set_stb(set_stb),.set_addr(set_addr),.set_data(set_data),
79 (.clk(clk),.rst(rst),.set_stb(set_stb),.set_addr(set_addr),.set_data(set_data),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/top/B100/
H A Du1plus_core.v123 .set_stb(set_stb), .set_addr(set_addr),.set_data(set_data),
168 .set_stb(set_stb), .set_addr(set_addr), .set_data(set_data),
178 .set_stb(set_stb), .set_addr(set_addr), .set_data(set_data),
192 .set_stb(set_stb),.set_addr(set_addr),.set_data(set_data),
202 .set_stb(set_stb),.set_addr(set_addr),.set_data(set_data),
227 .set_stb(set_stb),.set_addr(set_addr),.set_data(set_data),
235 .set_stb(set_stb),.set_addr(set_addr),.set_data(set_data),
280 .set_stb(set_stb),.set_addr(set_addr),.set_data(set_data),
333 .set_stb(set_stb),.set_addr(set_addr),.set_data(set_data),
344 .set_stb(set_stb),.set_addr(set_addr),.set_data(set_data),
[all …]
/dports/misc/py-gluonnlp/gluon-nlp-0.10.0/scripts/language_model/conversion_utils/
H A Dconvert_xlnet.py43 model._net.mask_embed.set_data(mx.nd.array(tf_param))
57 attention_cell.query_key_bias.set_data(
59 attention_cell.query_emb_bias.set_data(
61 attention_cell.query_seg_bias.set_data(
90 ffn.layer_norm.beta.set_data(mx.nd.array(tf_param))
94 ffn.ffn_1.weight.set_data(mx.nd.array(tf_param.T))
96 ffn.ffn_1.bias.set_data(mx.nd.array(tf_param))
98 ffn.ffn_2.weight.set_data(mx.nd.array(tf_param.T))
100 ffn.ffn_2.bias.set_data(mx.nd.array(tf_param))
104 model._net.decoder.weight.set_data(tf_param)
[all …]
H A Dconvert_transformer_xl.py88 param.set_data(mx.nd.array(tf_param))
118 param.set_data(mx.nd.array(tf_param))
152 param.set_data(mx.nd.array(tf_param))
164 attention_cell.query_key_bias.set_data(
166 attention_cell.query_emb_bias.set_data(
189 ffn.layer_norm.beta.set_data(mx.nd.array(tf_param))
191 ffn.layer_norm.gamma.set_data(mx.nd.array(tf_param))
193 ffn.ffn_1.weight.set_data(mx.nd.array(tf_param.T))
195 ffn.ffn_1.bias.set_data(mx.nd.array(tf_param))
197 ffn.ffn_2.weight.set_data(mx.nd.array(tf_param.T))
[all …]
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/radio_200/
H A Dradio_legacy.v153 .set_stb(set_stb), .set_addr(set_addr), .set_data(set_data),
163 .set_stb(set_stb), .set_addr(set_addr), .set_data(set_data),
272 .set_stb(set_stb),.set_addr(set_addr),.set_data(set_data),
372 .set_stb(set_stb), .set_addr(set_addr), .set_data(set_data),
382 .set_stb(set_stb), .set_addr(set_addr), .set_data(set_data),
391 .set_stb(set_stb),.set_addr(set_addr),.set_data(set_data),
404 .set_stb(set_stb),.set_addr(set_addr),.set_data(set_data),
423 .set_stb(set_stb), .set_addr(set_addr), .set_data(set_data),
433 .set_stb(set_stb), .set_addr(set_addr), .set_data(set_data),
448 .set_stb(set_stb),.set_addr(set_addr),.set_data(set_data),
[all …]
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/sim/rfnoc/null_source/
H A Dnull_source_tb.v24 reg [31:0] set_data; register
38 .set_stb(set_stb), .set_addr(set_addr), .set_data(set_data),
50 set_data <= 32'hDEADBEEF;
54 set_data <= 32'h8;
58 set_data <= 32'h20; // Rate
62 set_data <= 1; // enable
70 set_data <= 0; // disable
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/sim/dsp/ddc_chain_x300/
H A Dddc_chain_x300_tb.v32 set_addr <= 0; set_data <= 32'd8434349; set_stb <= 1; @(posedge clk); // CORDIC
33 set_addr <= 1; set_data <= 18'd19800; set_stb <= 1; @(posedge clk); // Scale factor
34 set_addr <= 2; set_data <= 10'h003; set_stb <= 1; @(posedge clk); // Decim control
35 set_addr <= 3; set_data <= 0; set_stb <= 1; @(posedge clk); // Swap iq
36 set_addr <= 4; set_data <= 0; set_stb <= 1; @(posedge clk); // filter taps
47 reg [31:0] set_data; register
59 .set_stb(set_stb),.set_addr(set_addr),.set_data(set_data),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/vita_200/
H A Dnew_rx_tb.v26 reg [31:0] set_data; register
53 set_data <= { send_at, chain, reload, stop, len };
57 set_data <= send_time[63:32];
61 set_data <= send_time[31:0];
75 set_data <= 18;
79 set_data <= 32'hF00D_1234;
98 .set_stb(set_stb), .set_addr(set_addr), .set_data(set_data),
106 .set_stb(set_stb), .set_addr(set_addr), .set_data(set_data),
/dports/java/openjdk11/jdk11u-jdk-11.0.13-8-1/src/java.desktop/unix/classes/sun/awt/X11/
H A DXDnDDragSourceProtocol.java295 msg.set_data(1, data1);
320 msg.set_data(1, 0); /* flags */ in sendMoveMessage()
322 msg.set_data(3, time); in sendMoveMessage()
344 msg.set_data(1, 0); in sendLeaveMessage()
345 msg.set_data(2, 0); in sendLeaveMessage()
346 msg.set_data(3, 0); in sendLeaveMessage()
347 msg.set_data(4, 0); in sendLeaveMessage()
370 msg.set_data(1, 0); /* flags */ in sendDropMessage()
371 msg.set_data(2, time); in sendDropMessage()
372 msg.set_data(3, 0); in sendDropMessage()
[all …]
/dports/java/openjdk13/jdk13u-jdk-13.0.10-1-1/src/java.desktop/unix/classes/sun/awt/X11/
H A DXDnDDragSourceProtocol.java295 msg.set_data(1, data1);
320 msg.set_data(1, 0); /* flags */ in sendMoveMessage()
322 msg.set_data(3, time); in sendMoveMessage()
344 msg.set_data(1, 0); in sendLeaveMessage()
345 msg.set_data(2, 0); in sendLeaveMessage()
346 msg.set_data(3, 0); in sendLeaveMessage()
347 msg.set_data(4, 0); in sendLeaveMessage()
370 msg.set_data(1, 0); /* flags */ in sendDropMessage()
371 msg.set_data(2, time); in sendDropMessage()
372 msg.set_data(3, 0); in sendDropMessage()
[all …]
/dports/java/openjdk11-jre/jdk11u-jdk-11.0.13-8-1/src/java.desktop/unix/classes/sun/awt/X11/
H A DXDnDDragSourceProtocol.java295 msg.set_data(1, data1);
320 msg.set_data(1, 0); /* flags */ in sendMoveMessage()
322 msg.set_data(3, time); in sendMoveMessage()
344 msg.set_data(1, 0); in sendLeaveMessage()
345 msg.set_data(2, 0); in sendLeaveMessage()
346 msg.set_data(3, 0); in sendLeaveMessage()
347 msg.set_data(4, 0); in sendLeaveMessage()
370 msg.set_data(1, 0); /* flags */ in sendDropMessage()
371 msg.set_data(2, time); in sendDropMessage()
372 msg.set_data(3, 0); in sendDropMessage()
[all …]
/dports/java/openjdk8/jdk8u-jdk8u312-b07.1/jdk/src/solaris/classes/sun/awt/X11/
H A DXDnDDragSourceProtocol.java294 msg.set_data(1, data1);
319 msg.set_data(1, 0); /* flags */ in sendMoveMessage()
321 msg.set_data(3, time); in sendMoveMessage()
343 msg.set_data(1, 0); in sendLeaveMessage()
344 msg.set_data(2, 0); in sendLeaveMessage()
345 msg.set_data(3, 0); in sendLeaveMessage()
346 msg.set_data(4, 0); in sendLeaveMessage()
369 msg.set_data(1, 0); /* flags */ in sendDropMessage()
370 msg.set_data(2, time); in sendDropMessage()
371 msg.set_data(3, 0); in sendDropMessage()
[all …]
/dports/java/openjdk12/openjdk-jdk12u-jdk-12.0.2-10-4/src/java.desktop/unix/classes/sun/awt/X11/
H A DXDnDDragSourceProtocol.java295 msg.set_data(1, data1);
320 msg.set_data(1, 0); /* flags */ in sendMoveMessage()
322 msg.set_data(3, time); in sendMoveMessage()
344 msg.set_data(1, 0); in sendLeaveMessage()
345 msg.set_data(2, 0); in sendLeaveMessage()
346 msg.set_data(3, 0); in sendLeaveMessage()
347 msg.set_data(4, 0); in sendLeaveMessage()
370 msg.set_data(1, 0); /* flags */ in sendDropMessage()
371 msg.set_data(2, time); in sendDropMessage()
372 msg.set_data(3, 0); in sendDropMessage()
[all …]
/dports/java/openjdk15/jdk15u-jdk-15.0.6-1-1/src/java.desktop/unix/classes/sun/awt/X11/
H A DXDnDDragSourceProtocol.java295 msg.set_data(1, data1);
320 msg.set_data(1, 0); /* flags */ in sendMoveMessage()
322 msg.set_data(3, time); in sendMoveMessage()
344 msg.set_data(1, 0); in sendLeaveMessage()
345 msg.set_data(2, 0); in sendLeaveMessage()
346 msg.set_data(3, 0); in sendLeaveMessage()
347 msg.set_data(4, 0); in sendLeaveMessage()
370 msg.set_data(1, 0); /* flags */ in sendDropMessage()
371 msg.set_data(2, time); in sendDropMessage()
372 msg.set_data(3, 0); in sendDropMessage()
[all …]
/dports/java/openjdk16/jdk16u-jdk-16.0.2-7-1/src/java.desktop/unix/classes/sun/awt/X11/
H A DXDnDDragSourceProtocol.java295 msg.set_data(1, data1);
320 msg.set_data(1, 0); /* flags */ in sendMoveMessage()
322 msg.set_data(3, time); in sendMoveMessage()
344 msg.set_data(1, 0); in sendLeaveMessage()
345 msg.set_data(2, 0); in sendLeaveMessage()
346 msg.set_data(3, 0); in sendLeaveMessage()
347 msg.set_data(4, 0); in sendLeaveMessage()
370 msg.set_data(1, 0); /* flags */ in sendDropMessage()
371 msg.set_data(2, time); in sendDropMessage()
372 msg.set_data(3, 0); in sendDropMessage()
[all …]
/dports/java/openjdk17/jdk17u-jdk-17.0.1-12-1/src/java.desktop/unix/classes/sun/awt/X11/
H A DXDnDDragSourceProtocol.java295 msg.set_data(1, data1);
320 msg.set_data(1, 0); /* flags */ in sendMoveMessage()
322 msg.set_data(3, time); in sendMoveMessage()
344 msg.set_data(1, 0); in sendLeaveMessage()
345 msg.set_data(2, 0); in sendLeaveMessage()
346 msg.set_data(3, 0); in sendLeaveMessage()
347 msg.set_data(4, 0); in sendLeaveMessage()
370 msg.set_data(1, 0); /* flags */ in sendDropMessage()
371 msg.set_data(2, time); in sendDropMessage()
372 msg.set_data(3, 0); in sendDropMessage()
[all …]
/dports/java/openjdk14/jdk14u-jdk-14.0.2-12-1/src/java.desktop/unix/classes/sun/awt/X11/
H A DXDnDDragSourceProtocol.java295 msg.set_data(1, data1);
320 msg.set_data(1, 0); /* flags */ in sendMoveMessage()
322 msg.set_data(3, time); in sendMoveMessage()
344 msg.set_data(1, 0); in sendLeaveMessage()
345 msg.set_data(2, 0); in sendLeaveMessage()
346 msg.set_data(3, 0); in sendLeaveMessage()
347 msg.set_data(4, 0); in sendLeaveMessage()
370 msg.set_data(1, 0); /* flags */ in sendDropMessage()
371 msg.set_data(2, time); in sendDropMessage()
372 msg.set_data(3, 0); in sendDropMessage()
[all …]
/dports/java/openjdk8-jre/jdk8u-jdk8u312-b07.1/jdk/src/solaris/classes/sun/awt/X11/
H A DXDnDDragSourceProtocol.java294 msg.set_data(1, data1);
319 msg.set_data(1, 0); /* flags */ in sendMoveMessage()
321 msg.set_data(3, time); in sendMoveMessage()
343 msg.set_data(1, 0); in sendLeaveMessage()
344 msg.set_data(2, 0); in sendLeaveMessage()
345 msg.set_data(3, 0); in sendLeaveMessage()
346 msg.set_data(4, 0); in sendLeaveMessage()
369 msg.set_data(1, 0); /* flags */ in sendDropMessage()
370 msg.set_data(2, time); in sendDropMessage()
371 msg.set_data(3, 0); in sendDropMessage()
[all …]
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/sim/control/
H A Dsim_set_rb_lib.svh18 logic [NUM_BUSES*SR_DWIDTH-1:0] set_data; register
23 modport master (output set_stb, output set_addr, output set_data,
25 modport slave (input set_stb, input set_addr, input set_data,
49 settings_bus.set_data = 0;
60 input logic [SR_DWIDTH-1:0] set_data, port
67 settings_bus.set_data[SR_DWIDTH*bus +: SR_DWIDTH] = set_data;
72 settings_bus.set_data[SR_DWIDTH*bus +: SR_DWIDTH] = 'd0;
130 output logic [SR_DWIDTH-1:0] set_data, port
145 set_data = settings_bus.set_data[SR_DWIDTH*bus +: SR_DWIDTH];
/dports/sysutils/u-boot-utilite/u-boot-2015.07/board/davinci/dm355leopard/
H A Ddm355leopard.c46 writel((readl(&gpio01_base->set_data) & ~(1 << 5)), in board_init()
47 &gpio01_base->set_data); in board_init()
53 writel((readl(&gpio01_base->set_data) | (1 << 10)), in board_init()
54 &gpio01_base->set_data); in board_init()
60 writel((readl(&gpio23_base->set_data) | (1 << 0)), in board_init()
61 &gpio23_base->set_data); in board_init()
66 writel((readl(&gpio67_base->set_data) | (1 << 6)), in board_init()
67 &gpio67_base->set_data); in board_init()
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/dsp/sim/sim_ddc_chain/dc_in_cordic_decim_2/
H A Dgtk.conf.gtkw25set_data[31:0]} ddc_chain_tb.dut_i0.set_data[31] ddc_chain_tb.dut_i0.set_data[30] ddc_chain_tb.dut…
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/sim/dsp/ddc_chain/dc_in_cordic_decim_6/
H A Dgtk.conf.gtkw25set_data[31:0]} ddc_chain_tb.dut_i0.set_data[31] ddc_chain_tb.dut_i0.set_data[30] ddc_chain_tb.dut…
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/sim/dsp/ddc_chain/dc_in_cordic_decim_2/
H A Dgtk.conf.gtkw25set_data[31:0]} ddc_chain_tb.dut_i0.set_data[31] ddc_chain_tb.dut_i0.set_data[30] ddc_chain_tb.dut…
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/dsp/sim/sim_ddc_chain/dc_in_cordic_decim_6/
H A Dgtk.conf.gtkw25set_data[31:0]} ddc_chain_tb.dut_i0.set_data[31] ddc_chain_tb.dut_i0.set_data[30] ddc_chain_tb.dut…

12345678910>>...123