Home
last modified time | relevance | path

Searched defs:bidir (Results 1 – 25 of 153) sorted by relevance

1234567

/dports/textproc/lttoolbox/lttoolbox-3.5.4/tests/lt_trim/
H A D__init__.py23 bidir = "lr" variable in TrimProcTest
71 bidir = "rl" variable in MergingPaths
78 bidir = "rl" variable in BidixPardef
85 bidir = "rl" variable in UnbalancedEpsilons
92 bidir = "rl" variable in LeftUnbalancedEpsilons
125 bidir = "rl" variable in BidixEpsilons
132 bidir = "lr" variable in AlphabeticAfterGroup
139 bidir = "lr" variable in DoubleClitics
148 bidir = "lr" variable in GroupAfterJoin
/dports/cad/ghdl/ghdl-1.0.0/testsuite/vests/vhdl-93/ashenden/compliant/
H A Dap_a_fg_a_07.vhd32 port ( bidir : inout std_logic; port
59 signal bidir : std_logic; signal
H A Dap_a_ap_a_07.vhd30 port ( bidir : inout std_logic_vector; port
64 signal bidir : std_logic_vector(3 downto 0); signal
/dports/graphics/py-pyqtgraph/pyqtgraph-0.12.3/pyqtgraph/flowchart/library/
H A Dfunctions.py48 def applyFilter(data, b, a, padding=100, bidir=True): argument
74 def besselFilter(data, cutoff, order=1, dt=None, btype='low', bidir=True): argument
97 …hFilter(data, wPass, wStop=None, gPass=2.0, gStop=20.0, order=1, dt=None, btype='low', bidir=True): argument
/dports/net-mgmt/nfdump/nfdump-1.6.20/bin/
H A Dnfexport.c78 static void ExportExtensionMaps( int aggregate, int bidir, nffile_t *nffile, extension_map_list_t *… in ExportExtensionMaps()
271 int ExportFlowTable(nffile_t *nffile, int aggregate, int bidir, int GuessDir, int date_sorted, exte… in ExportFlowTable()
/dports/math/chaco/Chaco-2.2/code/eigen/
H A Dbidir.c22 double bidir(alpha, beta, j, ritz, s, hurdle) in bidir() function
/dports/sysutils/u-boot-olinuxino-lime/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r7s72100.c41 u16 func, u16 inbuf, u16 bidir) in r7s72100_pfc_set_function()
68 int i, count, bidir; in r7s72100_pfc_set_state() local
/dports/sysutils/u-boot-olinuxino-lime2/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r7s72100.c41 u16 func, u16 inbuf, u16 bidir) in r7s72100_pfc_set_function()
68 int i, count, bidir; in r7s72100_pfc_set_state() local
/dports/sysutils/u-boot-cubox-hummingboard/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r7s72100.c41 u16 func, u16 inbuf, u16 bidir) in r7s72100_pfc_set_function()
68 int i, count, bidir; in r7s72100_pfc_set_state() local
/dports/sysutils/u-boot-cubieboard2/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r7s72100.c41 u16 func, u16 inbuf, u16 bidir) in r7s72100_pfc_set_function()
68 int i, count, bidir; in r7s72100_pfc_set_state() local
/dports/sysutils/u-boot-cubieboard/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r7s72100.c41 u16 func, u16 inbuf, u16 bidir) in r7s72100_pfc_set_function()
68 int i, count, bidir; in r7s72100_pfc_set_state() local
/dports/sysutils/u-boot-firefly-rk3399/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r7s72100.c41 u16 func, u16 inbuf, u16 bidir) in r7s72100_pfc_set_function()
68 int i, count, bidir; in r7s72100_pfc_set_state() local
/dports/sysutils/u-boot-sinovoip-bpi-m3/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r7s72100.c41 u16 func, u16 inbuf, u16 bidir) in r7s72100_pfc_set_function()
68 int i, count, bidir; in r7s72100_pfc_set_state() local
/dports/sysutils/u-boot-sopine/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r7s72100.c41 u16 func, u16 inbuf, u16 bidir) in r7s72100_pfc_set_function()
68 int i, count, bidir; in r7s72100_pfc_set_state() local
/dports/sysutils/u-boot-a64-olinuxino/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r7s72100.c41 u16 func, u16 inbuf, u16 bidir) in r7s72100_pfc_set_function()
68 int i, count, bidir; in r7s72100_pfc_set_state() local
/dports/sysutils/u-boot-rpi/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r7s72100.c41 u16 func, u16 inbuf, u16 bidir) in r7s72100_pfc_set_function()
68 int i, count, bidir; in r7s72100_pfc_set_state() local
/dports/sysutils/u-boot-qemu-arm64/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r7s72100.c41 u16 func, u16 inbuf, u16 bidir) in r7s72100_pfc_set_function()
68 int i, count, bidir; in r7s72100_pfc_set_state() local
/dports/sysutils/u-boot-nanopi-neo2/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r7s72100.c41 u16 func, u16 inbuf, u16 bidir) in r7s72100_pfc_set_function()
68 int i, count, bidir; in r7s72100_pfc_set_state() local
/dports/sysutils/u-boot-olimex-a20-som-evb/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r7s72100.c41 u16 func, u16 inbuf, u16 bidir) in r7s72100_pfc_set_function()
68 int i, count, bidir; in r7s72100_pfc_set_state() local
/dports/sysutils/u-boot-nanopi-m1plus/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r7s72100.c41 u16 func, u16 inbuf, u16 bidir) in r7s72100_pfc_set_function()
68 int i, count, bidir; in r7s72100_pfc_set_state() local
/dports/sysutils/u-boot-nanopi-r4s/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r7s72100.c41 u16 func, u16 inbuf, u16 bidir) in r7s72100_pfc_set_function()
68 int i, count, bidir; in r7s72100_pfc_set_state() local
/dports/sysutils/u-boot-beaglebone/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r7s72100.c41 u16 func, u16 inbuf, u16 bidir) in r7s72100_pfc_set_function()
68 int i, count, bidir; in r7s72100_pfc_set_state() local
/dports/sysutils/u-boot-chip/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r7s72100.c41 u16 func, u16 inbuf, u16 bidir) in r7s72100_pfc_set_function()
68 int i, count, bidir; in r7s72100_pfc_set_state() local
/dports/sysutils/u-boot-wandboard/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r7s72100.c41 u16 func, u16 inbuf, u16 bidir) in r7s72100_pfc_set_function()
68 int i, count, bidir; in r7s72100_pfc_set_state() local
/dports/sysutils/u-boot-clearfog/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r7s72100.c41 u16 func, u16 inbuf, u16 bidir) in r7s72100_pfc_set_function()
68 int i, count, bidir; in r7s72100_pfc_set_state() local

1234567