Home
last modified time | relevance | path

Searched defs:s_axi_araddr (Results 1 – 22 of 22) sorted by relevance

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/axi/
H A Daxi_dummy.v28 input [31:0] s_axi_araddr, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/control/
H A Daxil_to_ni_regport.v29 input [31:0] s_axi_araddr, port
H A Daxil_ctrlport_master.v41 input wire [AXI_AWIDTH-1:0] s_axi_araddr, port
H A Daxil_regport_master.v68 input [AWIDTH-1:0] s_axi_araddr, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/fifo/
H A Dfifo64_to_axi4lite.v25 input [31:0] s_axi_araddr, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/e31x/
H A Daxi_pmu.v37 input [31:0] s_axi_araddr, port
H A De31x_core.v55 input wire [REG_AWIDTH-1:0] s_axi_araddr, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/ip/aurora_64b66b_pcs_pma/
H A Daurora_phy_x1.v31 input [31:0] s_axi_araddr, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/e320/ip/aurora_64b66b_pcs_pma/
H A Daurora_phy_x1.v28 input [31:0] s_axi_araddr, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/x300/ip/aurora_64b66b_pcs_pma/
H A Daurora_phy_x1.v28 input [31:0] s_axi_araddr, port
/dports/lang/pocl/pocl-1.8/examples/accel/rtl/platform/
H A Dtta-axislave.vhdl91 s_axi_araddr : in STD_LOGIC_VECTOR (axi_addrw_g-1 downto 0); port
H A Dffaccel_toplevel.vhdl36 s_axi_araddr : in std_logic_vector(axi_addr_width_g-1 downto 0); port
301 s_axi_araddr : in std_logic_vector(axi_addr_width_g-1 downto 0); port in ffaccel_toplevel.structural.tta_accel
H A Dtta-accel.vhdl79 s_axi_araddr : in std_logic_vector(axi_addr_width_g-1 downto 0); port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/white_rabbit/wr_cores_v4_2/board/fasec/
H A Dwrc_board_fasec.vhd369 signal s_axi_araddr : std_logic_vector(31 downto 0); signal
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_axi_ram_fifo/
H A Dsim_axi_ram.sv60 input logic [ AWIDTH-1:0] s_axi_araddr, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/xport/
H A Deth_internal.v44 input wire [AWIDTH-1:0] s_axi_araddr, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/
H A Dn3xx_mgt_channel_wrapper.v54 input wire [REG_AWIDTH-1:0] s_axi_araddr, port
H A Dn3xx_core.v67 input [REG_AWIDTH-1:0] s_axi_araddr, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/e320/
H A Dn3xx_sfp_wrapper.v53 input [AWIDTH-1:0] s_axi_araddr, port
H A De320_core.v55 input wire [REG_AWIDTH-1:0] s_axi_araddr, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/vivado_ipi/axi_dmac/
H A Daxi_dmac.v58 input [31:0] s_axi_araddr, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/x300/
H A Dx300.v1169 wire [31:0] s_axi_araddr; net