Home
last modified time | relevance | path

Searched defs:s_axi_wready (Results 1 – 21 of 21) sorted by relevance

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/axi/
H A Daxi_dummy.v22 output s_axi_wready, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/control/
H A Daxil_to_ni_regport.v25 output s_axi_wready, port
H A Daxil_ctrlport_master.v35 output reg s_axi_wready, port
H A Daxil_regport_master.v62 output reg s_axi_wready, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/fifo/
H A Dfifo64_to_axi4lite.v21 output s_axi_wready, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/e31x/
H A Daxi_pmu.v31 output s_axi_wready, port
H A De31x_core.v49 output wire s_axi_wready, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/ip/aurora_64b66b_pcs_pma/
H A Daurora_phy_x1.v38 output s_axi_wready, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/e320/ip/aurora_64b66b_pcs_pma/
H A Daurora_phy_x1.v35 output s_axi_wready, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/x300/ip/aurora_64b66b_pcs_pma/
H A Daurora_phy_x1.v35 output s_axi_wready, port
/dports/lang/pocl/pocl-1.8/examples/accel/rtl/platform/
H A Dtta-axislave.vhdl85 s_axi_wready : out STD_LOGIC; port
H A Dffaccel_toplevel.vhdl30 s_axi_wready : out std_logic; port
295 s_axi_wready : out std_logic; port in ffaccel_toplevel.structural.tta_accel
H A Dtta-accel.vhdl75 s_axi_wready : out std_logic; port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_axi_ram_fifo/
H A Dsim_axi_ram.sv50 output logic s_axi_wready, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/xport/
H A Deth_internal.v38 output wire s_axi_wready, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/
H A Dn3xx_mgt_channel_wrapper.v48 output wire s_axi_wready, port
H A Dn3xx_core.v61 output s_axi_wready, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/e320/
H A Dn3xx_sfp_wrapper.v47 output s_axi_wready, port
H A De320_core.v49 output wire s_axi_wready, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/vivado_ipi/axi_dmac/
H A Daxi_dmac.v53 output s_axi_wready, port
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/x300/
H A Dx300.v1161 wire s_axi_wready; net