Home
last modified time | relevance | path

Searched refs:bidir (Results 1 – 25 of 376) sorted by relevance

12345678910>>...16

/dports/textproc/lttoolbox/lttoolbox-3.5.4/tests/lt_trim/
H A D__init__.py23 bidir = "lr" variable in TrimProcTest
33 self.bidir,
71 bidir = "rl" variable in MergingPaths
78 bidir = "rl" variable in BidixPardef
85 bidir = "rl" variable in UnbalancedEpsilons
92 bidir = "rl" variable in LeftUnbalancedEpsilons
125 bidir = "rl" variable in BidixEpsilons
132 bidir = "lr" variable in AlphabeticAfterGroup
139 bidir = "lr" variable in DoubleClitics
148 bidir = "lr" variable in GroupAfterJoin
/dports/sysutils/ansible2/ansible-2.9.27/test/integration/targets/nxos_pim_rp_address/tests/common/
H A Dconfigure.yaml7 # platforms do not support bidir
36 bidir: "{{ bidir_true|default(omit) }}"
53 - block: # bidir test
58 bidir: false
74 bidir: true
90 bidir: false
124 bidir: "{{ bidir_true|default(omit) }}"
137 - block: # bidir test
160 bidir: "{{ bidir_false|default(omit)}}"
177 bidir: "{{ bidir_true|default(omit) }}"
[all …]
/dports/devel/php-ice37/ice-3.7.2/js/test/typescript/Ice/operations/
H A DClient.ts14 async allTests(bidir:boolean)
24 await Twoways.run(communicator, cl, bidir, this);
25 await Twoways.run(communicator, derived, bidir, this);
29 await Oneways.run(communicator, cl, bidir);
33 await BatchOneways.run(communicator, cl, bidir);
/dports/devel/ice37/ice-3.7.2/js/test/typescript/Ice/operations/
H A DClient.ts14 async allTests(bidir:boolean)
24 await Twoways.run(communicator, cl, bidir, this);
25 await Twoways.run(communicator, derived, bidir, this);
29 await Oneways.run(communicator, cl, bidir);
33 await BatchOneways.run(communicator, cl, bidir);
/dports/devel/py-ice37/ice-3.7.2/js/test/typescript/Ice/operations/
H A DClient.ts14 async allTests(bidir:boolean)
24 await Twoways.run(communicator, cl, bidir, this);
25 await Twoways.run(communicator, derived, bidir, this);
29 await Oneways.run(communicator, cl, bidir);
33 await BatchOneways.run(communicator, cl, bidir);
/dports/sysutils/ansible/ansible-4.7.0/ansible_collections/cisco/nxos/tests/integration/targets/nxos_pim_rp_address/tests/common/
H A Dconfigure.yaml35 bidir: '{{ bidir_true|default(omit) }}'
57 bidir: false
72 bidir: true
87 bidir: false
119 bidir: '{{ bidir_true|default(omit) }}'
137 bidir: '{{ bidir_false|default(omit) }}'
154 bidir: '{{ bidir_false|default(omit)}}'
170 bidir: '{{ bidir_true|default(omit) }}'
188 bidir: '{{ bidir_false|default(omit)}}'
205 bidir: '{{ bidir_false|default(omit)}}'
/dports/cad/ghdl/ghdl-1.0.0/testsuite/vests/vhdl-93/ashenden/compliant/
H A Dap_a_ap_a_07.vhd30 port ( bidir : inout std_logic_vector; port
41 constant hi_impedance : std_logic_vector(bidir'range) := (others => 'Z');
48 bidir <= To_stdlogicvector(going_out) when ena = '1' else
50 coming_in <= To_stdulogicvector(bidir);
64 signal bidir : std_logic_vector(3 downto 0); signal
71 port map ( bidir, ena, going_out, coming_in );
77 bidir <= "ZZZZ", "0000" after 40 ns, "1111" after 50 ns, "ZZZZ" after 60 ns;
H A Dap_a_fg_a_07.vhd32 port ( bidir : inout std_logic; port
42 bidir <= going_out when ena = '1' else
44 coming_in <= bidir;
59 signal bidir : std_logic; signal
65 port map ( bidir, ena, going_out, coming_in );
71 bidir <= 'Z', '0' after 40 ns, '1' after 50 ns, 'Z' after 60 ns;
/dports/sysutils/u-boot-olinuxino-lime/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r7s72100.c41 u16 func, u16 inbuf, u16 bidir) in r7s72100_pfc_set_function() argument
55 bidir ? BIT(line) : 0); in r7s72100_pfc_set_function()
68 int i, count, bidir; in r7s72100_pfc_set_state() local
96 bidir = 0; in r7s72100_pfc_set_state()
98 bidir = 1; in r7s72100_pfc_set_state()
100 r7s72100_pfc_set_function(dev, bank, line, func, 0, bidir); in r7s72100_pfc_set_state()
/dports/sysutils/u-boot-olinuxino-lime2/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r7s72100.c41 u16 func, u16 inbuf, u16 bidir) in r7s72100_pfc_set_function() argument
55 bidir ? BIT(line) : 0); in r7s72100_pfc_set_function()
68 int i, count, bidir; in r7s72100_pfc_set_state() local
96 bidir = 0; in r7s72100_pfc_set_state()
98 bidir = 1; in r7s72100_pfc_set_state()
100 r7s72100_pfc_set_function(dev, bank, line, func, 0, bidir); in r7s72100_pfc_set_state()
/dports/sysutils/u-boot-cubox-hummingboard/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r7s72100.c41 u16 func, u16 inbuf, u16 bidir) in r7s72100_pfc_set_function() argument
55 bidir ? BIT(line) : 0); in r7s72100_pfc_set_function()
68 int i, count, bidir; in r7s72100_pfc_set_state() local
96 bidir = 0; in r7s72100_pfc_set_state()
98 bidir = 1; in r7s72100_pfc_set_state()
100 r7s72100_pfc_set_function(dev, bank, line, func, 0, bidir); in r7s72100_pfc_set_state()
/dports/sysutils/u-boot-cubieboard2/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r7s72100.c41 u16 func, u16 inbuf, u16 bidir) in r7s72100_pfc_set_function() argument
55 bidir ? BIT(line) : 0); in r7s72100_pfc_set_function()
68 int i, count, bidir; in r7s72100_pfc_set_state() local
96 bidir = 0; in r7s72100_pfc_set_state()
98 bidir = 1; in r7s72100_pfc_set_state()
100 r7s72100_pfc_set_function(dev, bank, line, func, 0, bidir); in r7s72100_pfc_set_state()
/dports/sysutils/u-boot-cubieboard/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r7s72100.c41 u16 func, u16 inbuf, u16 bidir) in r7s72100_pfc_set_function() argument
55 bidir ? BIT(line) : 0); in r7s72100_pfc_set_function()
68 int i, count, bidir; in r7s72100_pfc_set_state() local
96 bidir = 0; in r7s72100_pfc_set_state()
98 bidir = 1; in r7s72100_pfc_set_state()
100 r7s72100_pfc_set_function(dev, bank, line, func, 0, bidir); in r7s72100_pfc_set_state()
/dports/sysutils/u-boot-firefly-rk3399/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r7s72100.c41 u16 func, u16 inbuf, u16 bidir) in r7s72100_pfc_set_function() argument
55 bidir ? BIT(line) : 0); in r7s72100_pfc_set_function()
68 int i, count, bidir; in r7s72100_pfc_set_state() local
96 bidir = 0; in r7s72100_pfc_set_state()
98 bidir = 1; in r7s72100_pfc_set_state()
100 r7s72100_pfc_set_function(dev, bank, line, func, 0, bidir); in r7s72100_pfc_set_state()
/dports/sysutils/u-boot-sinovoip-bpi-m3/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r7s72100.c41 u16 func, u16 inbuf, u16 bidir) in r7s72100_pfc_set_function() argument
55 bidir ? BIT(line) : 0); in r7s72100_pfc_set_function()
68 int i, count, bidir; in r7s72100_pfc_set_state() local
96 bidir = 0; in r7s72100_pfc_set_state()
98 bidir = 1; in r7s72100_pfc_set_state()
100 r7s72100_pfc_set_function(dev, bank, line, func, 0, bidir); in r7s72100_pfc_set_state()
/dports/sysutils/u-boot-sopine/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r7s72100.c41 u16 func, u16 inbuf, u16 bidir) in r7s72100_pfc_set_function() argument
55 bidir ? BIT(line) : 0); in r7s72100_pfc_set_function()
68 int i, count, bidir; in r7s72100_pfc_set_state() local
96 bidir = 0; in r7s72100_pfc_set_state()
98 bidir = 1; in r7s72100_pfc_set_state()
100 r7s72100_pfc_set_function(dev, bank, line, func, 0, bidir); in r7s72100_pfc_set_state()
/dports/sysutils/u-boot-a64-olinuxino/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r7s72100.c41 u16 func, u16 inbuf, u16 bidir) in r7s72100_pfc_set_function() argument
55 bidir ? BIT(line) : 0); in r7s72100_pfc_set_function()
68 int i, count, bidir; in r7s72100_pfc_set_state() local
96 bidir = 0; in r7s72100_pfc_set_state()
98 bidir = 1; in r7s72100_pfc_set_state()
100 r7s72100_pfc_set_function(dev, bank, line, func, 0, bidir); in r7s72100_pfc_set_state()
/dports/sysutils/u-boot-rpi/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r7s72100.c41 u16 func, u16 inbuf, u16 bidir) in r7s72100_pfc_set_function() argument
55 bidir ? BIT(line) : 0); in r7s72100_pfc_set_function()
68 int i, count, bidir; in r7s72100_pfc_set_state() local
96 bidir = 0; in r7s72100_pfc_set_state()
98 bidir = 1; in r7s72100_pfc_set_state()
100 r7s72100_pfc_set_function(dev, bank, line, func, 0, bidir); in r7s72100_pfc_set_state()
/dports/sysutils/u-boot-qemu-arm64/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r7s72100.c41 u16 func, u16 inbuf, u16 bidir) in r7s72100_pfc_set_function() argument
55 bidir ? BIT(line) : 0); in r7s72100_pfc_set_function()
68 int i, count, bidir; in r7s72100_pfc_set_state() local
96 bidir = 0; in r7s72100_pfc_set_state()
98 bidir = 1; in r7s72100_pfc_set_state()
100 r7s72100_pfc_set_function(dev, bank, line, func, 0, bidir); in r7s72100_pfc_set_state()
/dports/sysutils/u-boot-nanopi-neo2/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r7s72100.c41 u16 func, u16 inbuf, u16 bidir) in r7s72100_pfc_set_function() argument
55 bidir ? BIT(line) : 0); in r7s72100_pfc_set_function()
68 int i, count, bidir; in r7s72100_pfc_set_state() local
96 bidir = 0; in r7s72100_pfc_set_state()
98 bidir = 1; in r7s72100_pfc_set_state()
100 r7s72100_pfc_set_function(dev, bank, line, func, 0, bidir); in r7s72100_pfc_set_state()
/dports/sysutils/u-boot-olimex-a20-som-evb/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r7s72100.c41 u16 func, u16 inbuf, u16 bidir) in r7s72100_pfc_set_function() argument
55 bidir ? BIT(line) : 0); in r7s72100_pfc_set_function()
68 int i, count, bidir; in r7s72100_pfc_set_state() local
96 bidir = 0; in r7s72100_pfc_set_state()
98 bidir = 1; in r7s72100_pfc_set_state()
100 r7s72100_pfc_set_function(dev, bank, line, func, 0, bidir); in r7s72100_pfc_set_state()
/dports/sysutils/u-boot-nanopi-m1plus/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r7s72100.c41 u16 func, u16 inbuf, u16 bidir) in r7s72100_pfc_set_function() argument
55 bidir ? BIT(line) : 0); in r7s72100_pfc_set_function()
68 int i, count, bidir; in r7s72100_pfc_set_state() local
96 bidir = 0; in r7s72100_pfc_set_state()
98 bidir = 1; in r7s72100_pfc_set_state()
100 r7s72100_pfc_set_function(dev, bank, line, func, 0, bidir); in r7s72100_pfc_set_state()
/dports/sysutils/u-boot-nanopi-r4s/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r7s72100.c41 u16 func, u16 inbuf, u16 bidir) in r7s72100_pfc_set_function() argument
55 bidir ? BIT(line) : 0); in r7s72100_pfc_set_function()
68 int i, count, bidir; in r7s72100_pfc_set_state() local
96 bidir = 0; in r7s72100_pfc_set_state()
98 bidir = 1; in r7s72100_pfc_set_state()
100 r7s72100_pfc_set_function(dev, bank, line, func, 0, bidir); in r7s72100_pfc_set_state()
/dports/sysutils/u-boot-beaglebone/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r7s72100.c41 u16 func, u16 inbuf, u16 bidir) in r7s72100_pfc_set_function() argument
55 bidir ? BIT(line) : 0); in r7s72100_pfc_set_function()
68 int i, count, bidir; in r7s72100_pfc_set_state() local
96 bidir = 0; in r7s72100_pfc_set_state()
98 bidir = 1; in r7s72100_pfc_set_state()
100 r7s72100_pfc_set_function(dev, bank, line, func, 0, bidir); in r7s72100_pfc_set_state()
/dports/sysutils/u-boot-chip/u-boot-2021.07/drivers/pinctrl/renesas/
H A Dpfc-r7s72100.c41 u16 func, u16 inbuf, u16 bidir) in r7s72100_pfc_set_function() argument
55 bidir ? BIT(line) : 0); in r7s72100_pfc_set_function()
68 int i, count, bidir; in r7s72100_pfc_set_state() local
96 bidir = 0; in r7s72100_pfc_set_state()
98 bidir = 1; in r7s72100_pfc_set_state()
100 r7s72100_pfc_set_function(dev, bank, line, func, 0, bidir); in r7s72100_pfc_set_state()

12345678910>>...16