Home
last modified time | relevance | path

Searched refs:ddr3_axi_awaddr (Results 1 – 8 of 8) sorted by relevance

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/x300/
H A Dx300_core.v137 output [31:0] ddr3_axi_awaddr, port
354 .ddr3_axi_awaddr ({s01_axi_awaddr, s00_axi_awaddr}),
482 .M00_AXI_AWADDR(ddr3_axi_awaddr), // output [31 : 0] M00_AXI_AWADDR
H A Dbus_int.v98 output [30*2-1:0] ddr3_axi_awaddr, port
782 .m_axi_awaddr (ddr3_axi_awaddr ),
H A Dx300.v1397 .ddr3_axi_awaddr (s_axi_awaddr),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/e320/
H A De320.v545 wire [31:0] ddr3_axi_awaddr; net
623 .s_axi_awaddr (ddr3_axi_awaddr[30:0]),
1741 .ddr3_axi_awaddr (ddr3_axi_awaddr),
H A De320_core.v105 output wire [31:0] ddr3_axi_awaddr, port
782 .M00_AXI_AWADDR (ddr3_axi_awaddr ),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/
H A Dn3xx_core.v123 output [31:0] ddr3_axi_awaddr, port
835 .M00_AXI_AWADDR (ddr3_axi_awaddr ),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/dboards/mg/
H A Dn3xx.v3195 wire [31:0] ddr3_axi_awaddr; net
3284 .s_axi_awaddr (ddr3_axi_awaddr),
3616 .ddr3_axi_awaddr (ddr3_axi_awaddr),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/n3xx/dboards/rh/
H A Dn3xx.v3167 wire [31:0] ddr3_axi_awaddr; net
3256 .s_axi_awaddr (ddr3_axi_awaddr),
3539 .ddr3_axi_awaddr (ddr3_axi_awaddr),