Home
last modified time | relevance | path

Searched refs:o2_tvalid (Results 1 – 17 of 17) sorted by relevance

/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/fifo/
H A Daxi_demux8.v20 output [WIDTH-1:0] o2_tdata, output o2_tlast, output o2_tvalid, input o2_tready, port
39 .o2_tdata(), .o2_tlast(), .o2_tvalid(), .o2_tready(1'b0),
49 .o2_tdata(o2_tdata), .o2_tlast(o2_tlast), .o2_tvalid(o2_tvalid), .o2_tready(o2_tready),
59 .o2_tdata(o6_tdata), .o2_tlast(o6_tlast), .o2_tvalid(o6_tvalid), .o2_tready(o6_tready),
H A Daxi_demux4.v19 output [WIDTH-1:0] o2_tdata, output o2_tlast, output o2_tvalid, input o2_tready, port
72 assign {o3_tvalid, o2_tvalid, o1_tvalid, o0_tvalid} = dm_state & {4{i_tvalid_int}};
H A Daxi_loopback.v45 .o2_tdata(), .o2_tlast(), .o2_tvalid(), .o2_tready(1'b1),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/vita_200/
H A Dchdr_xxxx_to_16sc_chain.v50 wire [63:0] o2_tdata; wire o2_tlast, o2_tvalid, o2_tready; net
76 .o_tdata(o2_tdata), .o_tlast(o2_tlast), .o_tvalid(o2_tvalid), .o_tready(o2_tready)
98 .o2_tdata(i2_tdata), .o2_tlast(i2_tlast), .o2_tvalid(i2_tvalid), .o2_tready(i2_tready),
105 .i2_tdata(o2_tdata), .i2_tlast(o2_tlast), .i2_tvalid(o2_tvalid), .i2_tready(o2_tready),
H A Dchdr_16sc_to_xxxx_chain.v49 wire [63:0] o2_tdata; wire o2_tlast, o2_tvalid, o2_tready; net
75 .o_tdata(o2_tdata), .o_tlast(o2_tlast), .o_tvalid(o2_tvalid), .o_tready(o2_tready)
96 .o2_tdata(i2_tdata), .o2_tlast(i2_tlast), .o2_tvalid(i2_tvalid), .o2_tready(i2_tready),
103 .i2_tdata(o2_tdata), .i2_tlast(o2_tlast), .i2_tvalid(o2_tvalid), .i2_tready(o2_tready),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/
H A Dsplit_stream.v15 output [WIDTH-1:0] o2_tdata, output o2_tlast, output o2_tvalid, input o2_tready, port
28 assign { o3_tvalid, o2_tvalid, o1_tvalid, o0_tvalid } = {4{i_tready & i_tvalid}};
H A Dsplit_stream_fifo.v16 output [WIDTH-1:0] o2_tdata, output o2_tlast, output o2_tvalid, input o2_tready, port
29 ….o2_tdata(o2_tdata_int), .o2_tlast(o2_tlast_int), .o2_tvalid(o2_tvalid_int), .o2_tready(o2_tready_…
49 .o_tdata({o2_tlast, o2_tdata}), .o_tvalid(o2_tvalid), .o_tready(o2_tready),
H A Dcomplex_invert.v41 .o2_tdata(a_b_tdata), .o2_tlast(a_b_tlast), .o2_tvalid(a_b_tvalid), .o2_tready(a_b_tready),
78 .o2_tdata(), .o2_tlast(), .o2_tvalid(), .o2_tready(1'b0),
H A Daddsub.vhd62 o2_tvalid : out std_ulogic; port in addsub_vhdl.rtl.split_stream_fifo
132 o2_tvalid => open,
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/blocks/rfnoc_block_window/
H A Dwindow.v93 .o2_tvalid (),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/io_port2/
H A Dpcie_iop2_msg_arbiter.v128 … .o2_tdata(e2_regi_tdata), .o2_tlast(), .o2_tvalid(e2_regi_tvalid), .o2_tready(e2_regi_tready),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/b200/
H A Db200_core.v156 ….o2_tdata(u0_ctrl_tdata), .o2_tlast(u0_ctrl_tlast), .o2_tvalid(u0_ctrl_tvalid), .o2_tready(u0_ctrl…
292 .o2_tdata(), .o2_tlast(), .o2_tvalid(), .o2_tready(1'b1),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/b2xxmini/
H A Db205_core.v140 .o2_tdata(), .o2_tlast(), .o2_tvalid(), .o2_tready(1'b1),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/top/x300/
H A Dx300_pcie_int.v342 ….o2_tdata(`GET_DMA_BUS(dmarx_tdata_bclk,2)), .o2_tlast(dmarx_tlast_bclk[2]), .o2_tvalid(dmarx_tval…
H A Dbus_int.v685 .o2_tdata(), .o2_tlast(), .o2_tvalid(), .o2_tready(1'b1),
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/radio_200/
H A Dradio_legacy.v314 ….o2_tdata(), .o2_tlast(), .o2_tvalid(), .o2_tready(1'b0), …
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/axi/
H A Daxi_dma_fifo.v347 .o2_tdata(), .o2_tlast(), .o2_tvalid(), .o2_tready(1'b0),