1//
2// Copyright 2014 Ettus Research LLC
3// Copyright 2018 Ettus Research, a National Instruments Company
4//
5// SPDX-License-Identifier: LGPL-3.0-or-later
6//
7
8module split_stream
9  #(parameter WIDTH=16,
10    parameter ACTIVE_MASK=4'b1111)
11   (input clk, input reset, input clear,  // These are not used in plain split_stream
12    input [WIDTH-1:0] i_tdata, input i_tlast, input i_tvalid, output i_tready,
13    output [WIDTH-1:0] o0_tdata, output o0_tlast, output o0_tvalid, input o0_tready,
14    output [WIDTH-1:0] o1_tdata, output o1_tlast, output o1_tvalid, input o1_tready,
15    output [WIDTH-1:0] o2_tdata, output o2_tlast, output o2_tvalid, input o2_tready,
16    output [WIDTH-1:0] o3_tdata, output o3_tlast, output o3_tvalid, input o3_tready);
17
18   assign { o0_tlast, o0_tdata } = { i_tlast, i_tdata };
19   assign { o1_tlast, o1_tdata } = { i_tlast, i_tdata };
20   assign { o2_tlast, o2_tdata } = { i_tlast, i_tdata };
21   assign { o3_tlast, o3_tdata } = { i_tlast, i_tdata };
22
23   // NOTE -- this violates the AXI spec because tvalids are dependent on treadys.
24   //   It will be ok most of the time, but muxes and demuxes will need a fifo in
25   //   the middle to avoid deadlock
26
27   assign i_tready = ~|(~{o3_tready,o2_tready,o1_tready,o0_tready} & ACTIVE_MASK);
28   assign { o3_tvalid, o2_tvalid, o1_tvalid, o0_tvalid } = {4{i_tready & i_tvalid}};
29
30endmodule // split_stream
31