Home
last modified time | relevance | path

Searched refs:ram_type (Results 1 – 25 of 110) sorted by relevance

12345

/dports/cad/ghdl/ghdl-1.0.0/testsuite/synth/issue1244/
H A Dram_protected_sharedvar.vhd17 type ram_type is protected type
27 end protected ram_type;
36 type ram_type is protected body type
54 end protected body ram_type;
91 shared variable RAM : ram_type;
/dports/cad/ghdl/ghdl-1.0.0/testsuite/synth/issue1018/
H A Dtest.vhdl14 type ram_type is array (0 to 1) of std_logic_vector(7 downto 0); type
15 signal ram : ram_type := (others => (others => '0'));
/dports/cad/ghdl/ghdl-1.0.0/testsuite/synth/issue1039/
H A Dent.vhdl15 type ram_type is array (0 to 7) of std_logic_vector(3 downto 0); type
16 signal ram : ram_type := (others => (others => '0'));
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/issue50/idct.d/
H A Dsync_ram.vhd24 type ram_type is array (0 to (2**address'length)-1) of std_logic_vector(datain'range); type
25 signal ram : ram_type;
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/issue50/vector.d/
H A Dsync_ram.vhd24 type ram_type is array (0 to (2**address'length)-1) of std_logic_vector(datain'range); type
25 signal ram : ram_type;
/dports/cad/ghdl/ghdl-1.0.0/testsuite/synth/issue1079/
H A Dtest.vhdl22 type ram_type is array (0 to SIZE - 1) of std_logic_vector(63 downto 0); type
23 signal ram : ram_type;
/dports/cad/ghdl/ghdl-1.0.0/testsuite/synth/issue1318/
H A Dram_blk.vhdl23 type ram_type is type
26 signal ram : ram_type;
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/bug040/
H A Dextend_mask.vhd19 type ram_type is array (0 to 19) of std_logic_vector(20 downto 0); type
20 signal ram : ram_type := (
H A Dlmask.vhd19 type ram_type is array (0 to 31) of std_logic_vector(31 downto 0); type
20 signal ram : ram_type := (
H A Dizigzag_index.vhd19 type ram_type is array (0 to 63) of std_logic_vector(5 downto 0); type
20 signal ram : ram_type := (
H A Dbit_set_mask.vhd19 type ram_type is array (0 to 31) of std_logic_vector(31 downto 0); type
20 signal ram : ram_type := (
H A Dzigzag_index.vhd19 type ram_type is array (0 to 63) of std_logic_vector(5 downto 0); type
20 signal ram : ram_type := (
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/perf02-long/
H A Dilb_table.vhd19 type ram_type is array (0 to 31) of std_logic_vector(31 downto 0); type
20 …signal ram : ram_type := ("00000000000000000000100000000000", "00000000000000000000100000101101", …
H A Dqq6_code6_table.vhd19 type ram_type is array (0 to 63) of std_logic_vector(31 downto 0); type
20 …signal ram : ram_type := ("11111111111111111111111101111000", "11111111111111111111111101111000", …
H A Dqq4_code4_table.vhd19 type ram_type is array (0 to 15) of std_logic_vector(31 downto 0); type
20 …signal ram : ram_type := ("00000000000000000000000000000000", "11111111111111111011000000011000", …
H A Dquant26bt_neg.vhd19 type ram_type is array (0 to 31) of std_logic_vector(31 downto 0); type
20 …signal ram : ram_type := ("00000000000000000000000000111111", "00000000000000000000000000111110", …
H A Dwh_code_table.vhd19 type ram_type is array (0 to 3) of std_logic_vector(31 downto 0); type
20 …signal ram : ram_type := ("00000000000000000000001100011110", "11111111111111111111111100101010", …
H A Dwl_code_table.vhd19 type ram_type is array (0 to 15) of std_logic_vector(31 downto 0); type
20 …signal ram : ram_type := ("11111111111111111111111111000100", "00000000000000000000101111100010", …
H A Ddecis_levl.vhd19 type ram_type is array (0 to 31) of std_logic_vector(31 downto 0); type
20 …signal ram : ram_type := ("00000000000000000000000100011000", "00000000000000000000001001000000", …
H A Dqq2_code2_table.vhd19 type ram_type is array (0 to 3) of std_logic_vector(31 downto 0); type
20 …signal ram : ram_type := ("11111111111111111110001100010000", "11111111111111111111100110110000", …
H A Dquant26bt_pos.vhd19 type ram_type is array (0 to 31) of std_logic_vector(31 downto 0); type
20 …signal ram : ram_type := ("00000000000000000000000000111101", "00000000000000000000000000111100", …
/dports/devel/universal-ctags/ctags-p5.9.20211128.0/Units/parser-vhdl.r/vhdl-process.d/
H A Dinput.vhd33 type ram_type is array ((2**abits)-1 downto 0) of std_logic_vector (dbits-1 downto 0); type
34 signal stackbuf : ram_type;
H A Dexpected.tags11 ram_type input.vhd /^ type ram_type is array ((2**abits)-1 downto 0) of std_logic_vector (dbits-1 …
12 stackbuf input.vhd /^ signal stackbuf : ram_type;$/;" signal architecture:StackTraceBuffer.arch…
/dports/cad/ghdl/ghdl-1.0.0/testsuite/synth/issue1021/
H A Dtest.vhdl27 type ram_type is array (0 to SIZE - 1) of std_logic_vector(WIDTH - 1 downto 0); type
28 signal ram : ram_type;
H A Dtest1.vhdl27 type ram_type is array (0 to SIZE - 1) of std_logic_vector(WIDTH - 1 downto 0); type
28 signal ram : ram_type;

12345