1(edif SyncRegsIfc
2  (edifversion 2 0 0)
3  (edifLevel 0)
4  (keywordmap (keywordlevel 0))
5(status
6 (written
7  (timeStamp 2018 03 16 14 23 36)
8  (program "Vivado" (version "2017.4"))
9  (comment "Built on 'Fri Dec 15 20:55:39 MST 2017'")
10  (comment "Built by 'xbuild'")
11 )
12)
13  (Library hdi_primitives
14    (edifLevel 0)
15    (technology (numberDefinition ))
16   (cell LUT3 (celltype GENERIC)
17     (view netlist (viewtype NETLIST)
18       (interface
19        (port O (direction OUTPUT))
20        (port I0 (direction INPUT))
21        (port I1 (direction INPUT))
22        (port I2 (direction INPUT))
23       )
24     )
25   )
26   (cell LUT2 (celltype GENERIC)
27     (view netlist (viewtype NETLIST)
28       (interface
29        (port O (direction OUTPUT))
30        (port I0 (direction INPUT))
31        (port I1 (direction INPUT))
32       )
33     )
34   )
35   (cell FDCE (celltype GENERIC)
36     (view netlist (viewtype NETLIST)
37       (interface
38        (port Q (direction OUTPUT))
39        (port C (direction INPUT))
40        (port CE (direction INPUT))
41        (port CLR (direction INPUT))
42        (port D (direction INPUT))
43       )
44     )
45   )
46   (cell GND (celltype GENERIC)
47     (view netlist (viewtype NETLIST)
48       (interface
49        (port G (direction OUTPUT))
50       )
51     )
52   )
53   (cell LUT4 (celltype GENERIC)
54     (view netlist (viewtype NETLIST)
55       (interface
56        (port O (direction OUTPUT))
57        (port I0 (direction INPUT))
58        (port I1 (direction INPUT))
59        (port I2 (direction INPUT))
60        (port I3 (direction INPUT))
61       )
62     )
63   )
64   (cell LUT1 (celltype GENERIC)
65     (view netlist (viewtype NETLIST)
66       (interface
67        (port O (direction OUTPUT))
68        (port I0 (direction INPUT))
69       )
70     )
71   )
72   (cell VCC (celltype GENERIC)
73     (view netlist (viewtype NETLIST)
74       (interface
75        (port P (direction OUTPUT))
76       )
77     )
78   )
79   (cell LUT6 (celltype GENERIC)
80     (view netlist (viewtype NETLIST)
81       (interface
82        (port O (direction OUTPUT))
83        (port I0 (direction INPUT))
84        (port I1 (direction INPUT))
85        (port I2 (direction INPUT))
86        (port I3 (direction INPUT))
87        (port I4 (direction INPUT))
88        (port I5 (direction INPUT))
89       )
90     )
91   )
92   (cell LUT5 (celltype GENERIC)
93     (view netlist (viewtype NETLIST)
94       (interface
95        (port O (direction OUTPUT))
96        (port I0 (direction INPUT))
97        (port I1 (direction INPUT))
98        (port I2 (direction INPUT))
99        (port I3 (direction INPUT))
100        (port I4 (direction INPUT))
101       )
102     )
103   )
104   (cell FDPE (celltype GENERIC)
105     (view netlist (viewtype NETLIST)
106       (interface
107        (port Q (direction OUTPUT))
108        (port C (direction INPUT))
109        (port CE (direction INPUT))
110        (port D (direction INPUT))
111        (port PRE (direction INPUT))
112       )
113     )
114   )
115   (cell INV (celltype GENERIC)
116     (view netlist (viewtype NETLIST)
117       (interface
118         (port I (direction INPUT))
119         (port O (direction OUTPUT))
120       )
121     )
122   )
123  )
124  (Library work
125    (edifLevel 0)
126    (technology (numberDefinition ))
127   (cell SyncRegsIfc (celltype GENERIC)
128     (view SyncRegsIfc (viewtype NETLIST)
129       (interface
130        (port BusClk (direction INPUT))
131        (port MeasClk (direction INPUT))
132        (port RefClk (direction INPUT))
133        (port SampleClk (direction INPUT))
134        (port aBusReset (direction INPUT))
135        (port aTdcReset (direction OUTPUT))
136        (port bBusReset (direction INPUT))
137        (port mOffsetsDone (direction INPUT))
138        (port mOffsetsValid (direction INPUT))
139        (port rEnablePpsCrossing (direction OUTPUT))
140        (port rEnableTdc (direction OUTPUT))
141        (port rLoadRePulseCounts (direction OUTPUT))
142        (port rLoadRpCounts (direction OUTPUT))
143        (port rLoadRptCounts (direction OUTPUT))
144        (port rPpsPulseCaptured (direction INPUT))
145        (port rReRunEnable (direction OUTPUT))
146        (port rResetTdc (direction OUTPUT))
147        (port rResetTdcDone (direction INPUT))
148        (port sLoadSpCounts (direction OUTPUT))
149        (port sLoadSptCounts (direction OUTPUT))
150        (port (array (rename bRegPortInFlat "bRegPortInFlat[49:0]") 50) (direction INPUT))
151        (port (array (rename bRegPortOutFlat "bRegPortOutFlat[33:0]") 34) (direction OUTPUT))
152        (port (array (rename mRpOffset "mRpOffset[39:0]") 40) (direction INPUT))
153        (port (array (rename mSpOffset "mSpOffset[39:0]") 40) (direction INPUT))
154        (port (array (rename rPulserEnableDelayVal "rPulserEnableDelayVal[3:0]") 4) (direction OUTPUT))
155        (port (array (rename rRePulseHighTimeInRClks "rRePulseHighTimeInRClks[23:0]") 24) (direction OUTPUT))
156        (port (array (rename rRePulsePeriodInRClks "rRePulsePeriodInRClks[23:0]") 24) (direction OUTPUT))
157        (port (array (rename rRpHighTimeInRClks "rRpHighTimeInRClks[15:0]") 16) (direction OUTPUT))
158        (port (array (rename rRpPeriodInRClks "rRpPeriodInRClks[15:0]") 16) (direction OUTPUT))
159        (port (array (rename rRptHighTimeInRClks "rRptHighTimeInRClks[15:0]") 16) (direction OUTPUT))
160        (port (array (rename rRptPeriodInRClks "rRptPeriodInRClks[15:0]") 16) (direction OUTPUT))
161        (port (array (rename sPpsClkCrossDelayVal "sPpsClkCrossDelayVal[3:0]") 4) (direction OUTPUT))
162        (port (array (rename sSpHighTimeInSClks "sSpHighTimeInSClks[15:0]") 16) (direction OUTPUT))
163        (port (array (rename sSpPeriodInSClks "sSpPeriodInSClks[15:0]") 16) (direction OUTPUT))
164        (port (array (rename sSptHighTimeInSClks "sSptHighTimeInSClks[15:0]") 16) (direction OUTPUT))
165        (port (array (rename sSptPeriodInSClks "sSptPeriodInSClks[15:0]") 16) (direction OUTPUT))
166       )
167       (contents
168         (instance (rename BlkIn_iDlyPush_i_1 "BlkIn.iDlyPush_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
169           (property INIT (string "8'h80"))
170           (property SOFT_HLUTNM (string "soft_lutpair22"))
171         )
172         (instance (rename BlkIn_iDlyPush_i_1__0 "BlkIn.iDlyPush_i_1__0") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
173           (property INIT (string "8'h20"))
174           (property SOFT_HLUTNM (string "soft_lutpair23"))
175         )
176         (instance (rename BlkIn_iDlyPush_i_1__1 "BlkIn.iDlyPush_i_1__1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
177           (property INIT (string "8'h20"))
178           (property SOFT_HLUTNM (string "soft_lutpair24"))
179         )
180         (instance (rename BlkIn_iDlyPush_i_1__2 "BlkIn.iDlyPush_i_1__2") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
181           (property INIT (string "8'h02"))
182           (property SOFT_HLUTNM (string "soft_lutpair24"))
183         )
184         (instance (rename BlkIn_iDlyPush_i_1__3 "BlkIn.iDlyPush_i_1__3") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
185           (property INIT (string "8'h80"))
186           (property SOFT_HLUTNM (string "soft_lutpair25"))
187         )
188         (instance (rename BlkIn_iDlyPush_i_1__4 "BlkIn.iDlyPush_i_1__4") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
189           (property INIT (string "8'h20"))
190           (property SOFT_HLUTNM (string "soft_lutpair26"))
191         )
192         (instance (rename BlkOut_oDataValid_i_1 "BlkOut.oDataValid_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
193           (property INIT (string "4'h6"))
194         )
195         (instance (rename BlkOut_oDataValid_i_1__0 "BlkOut.oDataValid_i_1__0") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
196           (property INIT (string "4'h6"))
197         )
198         (instance (rename BlkOut_oDataValid_i_1__1 "BlkOut.oDataValid_i_1__1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
199           (property INIT (string "4'h6"))
200         )
201         (instance (rename BlkOut_oDataValid_i_1__2 "BlkOut.oDataValid_i_1__2") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
202           (property INIT (string "4'h6"))
203         )
204         (instance (rename BlkOut_oDataValid_i_1__3 "BlkOut.oDataValid_i_1__3") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
205           (property INIT (string "4'h6"))
206         )
207         (instance (rename EnableTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "EnableTdcDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
208           (property INIT (string "1'b0"))
209           (property IS_CLR_INVERTED (string "1'b0"))
210           (property IS_C_INVERTED (string "1'b0"))
211           (property IS_D_INVERTED (string "1'b0"))
212           (property ASYNC_REG (boolean (true)))
213           (property box_type (string "PRIMITIVE"))
214         )
215         (instance (rename EnableTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "EnableTdcDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
216           (property INIT (string "1'b0"))
217           (property IS_CLR_INVERTED (string "1'b0"))
218           (property IS_C_INVERTED (string "1'b0"))
219           (property IS_D_INVERTED (string "1'b0"))
220           (property ASYNC_REG (boolean (true)))
221           (property box_type (string "PRIMITIVE"))
222         )
223         (instance (rename EnableTdcDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx "EnableTdcDs/DoubleSyncBasex/iDlySigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
224           (property INIT (string "1'b0"))
225           (property IS_CLR_INVERTED (string "1'b0"))
226           (property IS_C_INVERTED (string "1'b0"))
227           (property IS_D_INVERTED (string "1'b0"))
228           (property box_type (string "PRIMITIVE"))
229         )
230         (instance GND (viewref netlist (cellref GND (libraryref hdi_primitives))))
231         (instance (rename Gen0_FDCEx_i_1 "Gen0.FDCEx_i_1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
232           (property INIT (string "16'h4000"))
233         )
234         (instance (rename Gen0_FDCEx_i_1__0 "Gen0.FDCEx_i_1__0") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
235           (property INIT (string "16'h0400"))
236         )
237         (instance (rename Gen0_FDCEx_i_1__1 "Gen0.FDCEx_i_1__1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
238           (property INIT (string "16'h0400"))
239         )
240         (instance (rename Gen0_FDCEx_i_1__10 "Gen0.FDCEx_i_1__10") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
241           (property INIT (string "4'h2"))
242         )
243         (instance (rename Gen0_FDCEx_i_1__11 "Gen0.FDCEx_i_1__11") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
244           (property INIT (string "4'h2"))
245         )
246         (instance (rename Gen0_FDCEx_i_1__2 "Gen0.FDCEx_i_1__2") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
247           (property INIT (string "16'h0100"))
248         )
249         (instance (rename Gen0_FDCEx_i_1__3 "Gen0.FDCEx_i_1__3") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
250           (property INIT (string "16'h4000"))
251         )
252         (instance (rename Gen0_FDCEx_i_1__4 "Gen0.FDCEx_i_1__4") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
253           (property INIT (string "16'h0400"))
254         )
255         (instance (rename Gen0_FDCEx_i_1__5 "Gen0.FDCEx_i_1__5") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
256           (property INIT (string "4'h6"))
257         )
258         (instance (rename Gen0_FDCEx_i_1__6 "Gen0.FDCEx_i_1__6") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
259           (property INIT (string "4'h6"))
260         )
261         (instance (rename Gen0_FDCEx_i_1__7 "Gen0.FDCEx_i_1__7") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
262           (property INIT (string "4'h6"))
263         )
264         (instance (rename Gen0_FDCEx_i_1__8 "Gen0.FDCEx_i_1__8") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
265           (property INIT (string "4'h6"))
266         )
267         (instance (rename Gen0_FDCEx_i_1__9 "Gen0.FDCEx_i_1__9") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
268           (property INIT (string "4'h2"))
269         )
270         (instance (rename Gen0_FDCEx_i_2 "Gen0.FDCEx_i_2") (viewref netlist (cellref LUT1 (libraryref hdi_primitives)))
271           (property INIT (string "2'h1"))
272         )
273         (instance (rename Gen0_FDCEx_i_2__0 "Gen0.FDCEx_i_2__0") (viewref netlist (cellref LUT1 (libraryref hdi_primitives)))
274           (property INIT (string "2'h1"))
275         )
276         (instance (rename Gen0_FDCEx_i_2__1 "Gen0.FDCEx_i_2__1") (viewref netlist (cellref LUT1 (libraryref hdi_primitives)))
277           (property INIT (string "2'h1"))
278         )
279         (instance (rename Gen0_FDCEx_i_2__2 "Gen0.FDCEx_i_2__2") (viewref netlist (cellref LUT1 (libraryref hdi_primitives)))
280           (property INIT (string "2'h1"))
281         )
282         (instance (rename Gen0_FDCEx_i_2__3 "Gen0.FDCEx_i_2__3") (viewref netlist (cellref LUT1 (libraryref hdi_primitives)))
283           (property INIT (string "2'h1"))
284         )
285         (instance (rename Gen0_FDCEx_i_2__4 "Gen0.FDCEx_i_2__4") (viewref netlist (cellref LUT1 (libraryref hdi_primitives)))
286           (property INIT (string "2'h1"))
287         )
288         (instance (rename Gen0_FDCEx_i_2__5 "Gen0.FDCEx_i_2__5") (viewref netlist (cellref LUT1 (libraryref hdi_primitives)))
289           (property INIT (string "2'h1"))
290         )
291         (instance (rename Gen0_FDCEx_i_2__6 "Gen0.FDCEx_i_2__6") (viewref netlist (cellref LUT1 (libraryref hdi_primitives)))
292           (property INIT (string "2'h1"))
293         )
294         (instance (rename Gen0_FDCEx_i_2__7 "Gen0.FDCEx_i_2__7") (viewref netlist (cellref LUT1 (libraryref hdi_primitives)))
295           (property INIT (string "2'h1"))
296         )
297         (instance (rename Gen0_FDCEx_i_3 "Gen0.FDCEx_i_3") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
298           (property INIT (string "16'h0400"))
299           (property SOFT_HLUTNM (string "soft_lutpair4"))
300         )
301         (instance (rename Gen0_FDCEx_i_3__0 "Gen0.FDCEx_i_3__0") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
302           (property INIT (string "16'h0008"))
303           (property SOFT_HLUTNM (string "soft_lutpair5"))
304         )
305         (instance (rename Gen0_FDCEx_i_3__1 "Gen0.FDCEx_i_3__1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
306           (property INIT (string "16'h0002"))
307           (property SOFT_HLUTNM (string "soft_lutpair4"))
308         )
309         (instance (rename IncomingOffsetHs_HBx_BlkIn_iDlyPush_reg "IncomingOffsetHs/HBx/BlkIn.iDlyPush_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
310           (property INIT (string "1'b0"))
311         )
312         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_0_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[0]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
313           (property INIT (string "1'b0"))
314         )
315         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_10_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[10]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
316           (property INIT (string "1'b0"))
317         )
318         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_11_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[11]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
319           (property INIT (string "1'b0"))
320         )
321         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_12_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[12]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
322           (property INIT (string "1'b0"))
323         )
324         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_13_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[13]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
325           (property INIT (string "1'b0"))
326         )
327         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_14_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[14]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
328           (property INIT (string "1'b0"))
329         )
330         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_15_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[15]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
331           (property INIT (string "1'b0"))
332         )
333         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_16_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[16]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
334           (property INIT (string "1'b0"))
335         )
336         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_17_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[17]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
337           (property INIT (string "1'b0"))
338         )
339         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_18_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[18]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
340           (property INIT (string "1'b0"))
341         )
342         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_19_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[19]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
343           (property INIT (string "1'b0"))
344         )
345         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_1_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
346           (property INIT (string "1'b0"))
347         )
348         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_20_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[20]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
349           (property INIT (string "1'b0"))
350         )
351         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_21_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[21]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
352           (property INIT (string "1'b0"))
353         )
354         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_22_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[22]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
355           (property INIT (string "1'b0"))
356         )
357         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_23_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[23]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
358           (property INIT (string "1'b0"))
359         )
360         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_24_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[24]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
361           (property INIT (string "1'b0"))
362         )
363         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_25_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[25]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
364           (property INIT (string "1'b0"))
365         )
366         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_26_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[26]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
367           (property INIT (string "1'b0"))
368         )
369         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_27_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[27]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
370           (property INIT (string "1'b0"))
371         )
372         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_28_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[28]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
373           (property INIT (string "1'b0"))
374         )
375         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_29_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[29]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
376           (property INIT (string "1'b0"))
377         )
378         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_2_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
379           (property INIT (string "1'b0"))
380         )
381         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_30_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[30]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
382           (property INIT (string "1'b0"))
383         )
384         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_31_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[31]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
385           (property INIT (string "1'b0"))
386         )
387         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_32_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[32]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
388           (property INIT (string "1'b0"))
389         )
390         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_33_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[33]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
391           (property INIT (string "1'b0"))
392         )
393         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_34_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[34]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
394           (property INIT (string "1'b0"))
395         )
396         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_35_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[35]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
397           (property INIT (string "1'b0"))
398         )
399         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_36_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[36]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
400           (property INIT (string "1'b0"))
401         )
402         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_37_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[37]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
403           (property INIT (string "1'b0"))
404         )
405         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_38_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[38]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
406           (property INIT (string "1'b0"))
407         )
408         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_39_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[39]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
409           (property INIT (string "1'b0"))
410         )
411         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_3_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
412           (property INIT (string "1'b0"))
413         )
414         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_40_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[40]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
415           (property INIT (string "1'b0"))
416         )
417         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_41_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[41]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
418           (property INIT (string "1'b0"))
419         )
420         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_42_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[42]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
421           (property INIT (string "1'b0"))
422         )
423         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_43_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[43]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
424           (property INIT (string "1'b0"))
425         )
426         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_44_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[44]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
427           (property INIT (string "1'b0"))
428         )
429         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_45_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[45]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
430           (property INIT (string "1'b0"))
431         )
432         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_46_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[46]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
433           (property INIT (string "1'b0"))
434         )
435         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_47_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[47]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
436           (property INIT (string "1'b0"))
437         )
438         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_48_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[48]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
439           (property INIT (string "1'b0"))
440         )
441         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_49_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[49]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
442           (property INIT (string "1'b0"))
443         )
444         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_4_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[4]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
445           (property INIT (string "1'b0"))
446         )
447         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_50_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[50]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
448           (property INIT (string "1'b0"))
449         )
450         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_51_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[51]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
451           (property INIT (string "1'b0"))
452         )
453         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_52_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[52]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
454           (property INIT (string "1'b0"))
455         )
456         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_53_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[53]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
457           (property INIT (string "1'b0"))
458         )
459         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_54_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[54]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
460           (property INIT (string "1'b0"))
461         )
462         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_55_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[55]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
463           (property INIT (string "1'b0"))
464         )
465         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_56_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[56]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
466           (property INIT (string "1'b0"))
467         )
468         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_57_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[57]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
469           (property INIT (string "1'b0"))
470         )
471         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_58_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[58]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
472           (property INIT (string "1'b0"))
473         )
474         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_59_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[59]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
475           (property INIT (string "1'b0"))
476         )
477         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_5_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[5]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
478           (property INIT (string "1'b0"))
479         )
480         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_60_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[60]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
481           (property INIT (string "1'b0"))
482         )
483         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_61_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[61]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
484           (property INIT (string "1'b0"))
485         )
486         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_62_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[62]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
487           (property INIT (string "1'b0"))
488         )
489         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_63_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[63]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
490           (property INIT (string "1'b0"))
491         )
492         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_64_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[64]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
493           (property INIT (string "1'b0"))
494         )
495         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_65_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[65]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
496           (property INIT (string "1'b0"))
497         )
498         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_66_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[66]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
499           (property INIT (string "1'b0"))
500         )
501         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_67_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[67]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
502           (property INIT (string "1'b0"))
503         )
504         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_68_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[68]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
505           (property INIT (string "1'b0"))
506         )
507         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_69_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[69]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
508           (property INIT (string "1'b0"))
509         )
510         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_6_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[6]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
511           (property INIT (string "1'b0"))
512         )
513         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_70_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[70]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
514           (property INIT (string "1'b0"))
515         )
516         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_71_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[71]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
517           (property INIT (string "1'b0"))
518         )
519         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_72_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[72]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
520           (property INIT (string "1'b0"))
521         )
522         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_73_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[73]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
523           (property INIT (string "1'b0"))
524         )
525         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_74_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[74]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
526           (property INIT (string "1'b0"))
527         )
528         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_75_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[75]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
529           (property INIT (string "1'b0"))
530         )
531         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_76_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[76]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
532           (property INIT (string "1'b0"))
533         )
534         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_77_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[77]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
535           (property INIT (string "1'b0"))
536         )
537         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_78_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[78]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
538           (property INIT (string "1'b0"))
539         )
540         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_79_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[79]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
541           (property INIT (string "1'b0"))
542         )
543         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_7_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[7]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
544           (property INIT (string "1'b0"))
545         )
546         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_8_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[8]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
547           (property INIT (string "1'b0"))
548         )
549         (instance (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_9_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg[9]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
550           (property INIT (string "1'b0"))
551         )
552         (instance (rename IncomingOffsetHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkIn.iPushTogglex/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
553           (property INIT (string "1'b0"))
554           (property IS_CLR_INVERTED (string "1'b0"))
555           (property IS_C_INVERTED (string "1'b0"))
556           (property IS_D_INVERTED (string "1'b0"))
557           (property box_type (string "PRIMITIVE"))
558         )
559         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[0].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
560           (property INIT (string "1'b0"))
561           (property IS_CLR_INVERTED (string "1'b0"))
562           (property IS_C_INVERTED (string "1'b0"))
563           (property IS_D_INVERTED (string "1'b0"))
564           (property box_type (string "PRIMITIVE"))
565         )
566         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[10].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
567           (property INIT (string "1'b0"))
568           (property IS_CLR_INVERTED (string "1'b0"))
569           (property IS_C_INVERTED (string "1'b0"))
570           (property IS_D_INVERTED (string "1'b0"))
571           (property box_type (string "PRIMITIVE"))
572         )
573         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[11].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
574           (property INIT (string "1'b0"))
575           (property IS_CLR_INVERTED (string "1'b0"))
576           (property IS_C_INVERTED (string "1'b0"))
577           (property IS_D_INVERTED (string "1'b0"))
578           (property box_type (string "PRIMITIVE"))
579         )
580         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[12].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
581           (property INIT (string "1'b0"))
582           (property IS_CLR_INVERTED (string "1'b0"))
583           (property IS_C_INVERTED (string "1'b0"))
584           (property IS_D_INVERTED (string "1'b0"))
585           (property box_type (string "PRIMITIVE"))
586         )
587         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[13].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
588           (property INIT (string "1'b0"))
589           (property IS_CLR_INVERTED (string "1'b0"))
590           (property IS_C_INVERTED (string "1'b0"))
591           (property IS_D_INVERTED (string "1'b0"))
592           (property box_type (string "PRIMITIVE"))
593         )
594         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[14].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
595           (property INIT (string "1'b0"))
596           (property IS_CLR_INVERTED (string "1'b0"))
597           (property IS_C_INVERTED (string "1'b0"))
598           (property IS_D_INVERTED (string "1'b0"))
599           (property box_type (string "PRIMITIVE"))
600         )
601         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[15].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
602           (property INIT (string "1'b0"))
603           (property IS_CLR_INVERTED (string "1'b0"))
604           (property IS_C_INVERTED (string "1'b0"))
605           (property IS_D_INVERTED (string "1'b0"))
606           (property box_type (string "PRIMITIVE"))
607         )
608         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[16].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
609           (property INIT (string "1'b0"))
610           (property IS_CLR_INVERTED (string "1'b0"))
611           (property IS_C_INVERTED (string "1'b0"))
612           (property IS_D_INVERTED (string "1'b0"))
613           (property box_type (string "PRIMITIVE"))
614         )
615         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[17].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
616           (property INIT (string "1'b0"))
617           (property IS_CLR_INVERTED (string "1'b0"))
618           (property IS_C_INVERTED (string "1'b0"))
619           (property IS_D_INVERTED (string "1'b0"))
620           (property box_type (string "PRIMITIVE"))
621         )
622         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[18].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
623           (property INIT (string "1'b0"))
624           (property IS_CLR_INVERTED (string "1'b0"))
625           (property IS_C_INVERTED (string "1'b0"))
626           (property IS_D_INVERTED (string "1'b0"))
627           (property box_type (string "PRIMITIVE"))
628         )
629         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[19].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
630           (property INIT (string "1'b0"))
631           (property IS_CLR_INVERTED (string "1'b0"))
632           (property IS_C_INVERTED (string "1'b0"))
633           (property IS_D_INVERTED (string "1'b0"))
634           (property box_type (string "PRIMITIVE"))
635         )
636         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[1].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
637           (property INIT (string "1'b0"))
638           (property IS_CLR_INVERTED (string "1'b0"))
639           (property IS_C_INVERTED (string "1'b0"))
640           (property IS_D_INVERTED (string "1'b0"))
641           (property box_type (string "PRIMITIVE"))
642         )
643         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[20].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
644           (property INIT (string "1'b0"))
645           (property IS_CLR_INVERTED (string "1'b0"))
646           (property IS_C_INVERTED (string "1'b0"))
647           (property IS_D_INVERTED (string "1'b0"))
648           (property box_type (string "PRIMITIVE"))
649         )
650         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[21].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
651           (property INIT (string "1'b0"))
652           (property IS_CLR_INVERTED (string "1'b0"))
653           (property IS_C_INVERTED (string "1'b0"))
654           (property IS_D_INVERTED (string "1'b0"))
655           (property box_type (string "PRIMITIVE"))
656         )
657         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[22].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
658           (property INIT (string "1'b0"))
659           (property IS_CLR_INVERTED (string "1'b0"))
660           (property IS_C_INVERTED (string "1'b0"))
661           (property IS_D_INVERTED (string "1'b0"))
662           (property box_type (string "PRIMITIVE"))
663         )
664         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[23].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
665           (property INIT (string "1'b0"))
666           (property IS_CLR_INVERTED (string "1'b0"))
667           (property IS_C_INVERTED (string "1'b0"))
668           (property IS_D_INVERTED (string "1'b0"))
669           (property box_type (string "PRIMITIVE"))
670         )
671         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[24].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
672           (property INIT (string "1'b0"))
673           (property IS_CLR_INVERTED (string "1'b0"))
674           (property IS_C_INVERTED (string "1'b0"))
675           (property IS_D_INVERTED (string "1'b0"))
676           (property box_type (string "PRIMITIVE"))
677         )
678         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[25].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
679           (property INIT (string "1'b0"))
680           (property IS_CLR_INVERTED (string "1'b0"))
681           (property IS_C_INVERTED (string "1'b0"))
682           (property IS_D_INVERTED (string "1'b0"))
683           (property box_type (string "PRIMITIVE"))
684         )
685         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[26].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
686           (property INIT (string "1'b0"))
687           (property IS_CLR_INVERTED (string "1'b0"))
688           (property IS_C_INVERTED (string "1'b0"))
689           (property IS_D_INVERTED (string "1'b0"))
690           (property box_type (string "PRIMITIVE"))
691         )
692         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[27].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
693           (property INIT (string "1'b0"))
694           (property IS_CLR_INVERTED (string "1'b0"))
695           (property IS_C_INVERTED (string "1'b0"))
696           (property IS_D_INVERTED (string "1'b0"))
697           (property box_type (string "PRIMITIVE"))
698         )
699         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[28].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
700           (property INIT (string "1'b0"))
701           (property IS_CLR_INVERTED (string "1'b0"))
702           (property IS_C_INVERTED (string "1'b0"))
703           (property IS_D_INVERTED (string "1'b0"))
704           (property box_type (string "PRIMITIVE"))
705         )
706         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[29].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
707           (property INIT (string "1'b0"))
708           (property IS_CLR_INVERTED (string "1'b0"))
709           (property IS_C_INVERTED (string "1'b0"))
710           (property IS_D_INVERTED (string "1'b0"))
711           (property box_type (string "PRIMITIVE"))
712         )
713         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[2].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
714           (property INIT (string "1'b0"))
715           (property IS_CLR_INVERTED (string "1'b0"))
716           (property IS_C_INVERTED (string "1'b0"))
717           (property IS_D_INVERTED (string "1'b0"))
718           (property box_type (string "PRIMITIVE"))
719         )
720         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[30].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
721           (property INIT (string "1'b0"))
722           (property IS_CLR_INVERTED (string "1'b0"))
723           (property IS_C_INVERTED (string "1'b0"))
724           (property IS_D_INVERTED (string "1'b0"))
725           (property box_type (string "PRIMITIVE"))
726         )
727         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_31__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[31].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
728           (property INIT (string "1'b0"))
729           (property IS_CLR_INVERTED (string "1'b0"))
730           (property IS_C_INVERTED (string "1'b0"))
731           (property IS_D_INVERTED (string "1'b0"))
732           (property box_type (string "PRIMITIVE"))
733         )
734         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_32__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[32].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
735           (property INIT (string "1'b0"))
736           (property IS_CLR_INVERTED (string "1'b0"))
737           (property IS_C_INVERTED (string "1'b0"))
738           (property IS_D_INVERTED (string "1'b0"))
739           (property box_type (string "PRIMITIVE"))
740         )
741         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_33__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[33].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
742           (property INIT (string "1'b0"))
743           (property IS_CLR_INVERTED (string "1'b0"))
744           (property IS_C_INVERTED (string "1'b0"))
745           (property IS_D_INVERTED (string "1'b0"))
746           (property box_type (string "PRIMITIVE"))
747         )
748         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_34__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[34].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
749           (property INIT (string "1'b0"))
750           (property IS_CLR_INVERTED (string "1'b0"))
751           (property IS_C_INVERTED (string "1'b0"))
752           (property IS_D_INVERTED (string "1'b0"))
753           (property box_type (string "PRIMITIVE"))
754         )
755         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_35__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[35].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
756           (property INIT (string "1'b0"))
757           (property IS_CLR_INVERTED (string "1'b0"))
758           (property IS_C_INVERTED (string "1'b0"))
759           (property IS_D_INVERTED (string "1'b0"))
760           (property box_type (string "PRIMITIVE"))
761         )
762         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_36__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[36].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
763           (property INIT (string "1'b0"))
764           (property IS_CLR_INVERTED (string "1'b0"))
765           (property IS_C_INVERTED (string "1'b0"))
766           (property IS_D_INVERTED (string "1'b0"))
767           (property box_type (string "PRIMITIVE"))
768         )
769         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_37__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[37].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
770           (property INIT (string "1'b0"))
771           (property IS_CLR_INVERTED (string "1'b0"))
772           (property IS_C_INVERTED (string "1'b0"))
773           (property IS_D_INVERTED (string "1'b0"))
774           (property box_type (string "PRIMITIVE"))
775         )
776         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_38__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[38].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
777           (property INIT (string "1'b0"))
778           (property IS_CLR_INVERTED (string "1'b0"))
779           (property IS_C_INVERTED (string "1'b0"))
780           (property IS_D_INVERTED (string "1'b0"))
781           (property box_type (string "PRIMITIVE"))
782         )
783         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_39__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[39].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
784           (property INIT (string "1'b0"))
785           (property IS_CLR_INVERTED (string "1'b0"))
786           (property IS_C_INVERTED (string "1'b0"))
787           (property IS_D_INVERTED (string "1'b0"))
788           (property box_type (string "PRIMITIVE"))
789         )
790         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[3].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
791           (property INIT (string "1'b0"))
792           (property IS_CLR_INVERTED (string "1'b0"))
793           (property IS_C_INVERTED (string "1'b0"))
794           (property IS_D_INVERTED (string "1'b0"))
795           (property box_type (string "PRIMITIVE"))
796         )
797         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_40__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[40].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
798           (property INIT (string "1'b0"))
799           (property IS_CLR_INVERTED (string "1'b0"))
800           (property IS_C_INVERTED (string "1'b0"))
801           (property IS_D_INVERTED (string "1'b0"))
802           (property box_type (string "PRIMITIVE"))
803         )
804         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_41__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[41].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
805           (property INIT (string "1'b0"))
806           (property IS_CLR_INVERTED (string "1'b0"))
807           (property IS_C_INVERTED (string "1'b0"))
808           (property IS_D_INVERTED (string "1'b0"))
809           (property box_type (string "PRIMITIVE"))
810         )
811         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_42__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[42].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
812           (property INIT (string "1'b0"))
813           (property IS_CLR_INVERTED (string "1'b0"))
814           (property IS_C_INVERTED (string "1'b0"))
815           (property IS_D_INVERTED (string "1'b0"))
816           (property box_type (string "PRIMITIVE"))
817         )
818         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_43__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[43].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
819           (property INIT (string "1'b0"))
820           (property IS_CLR_INVERTED (string "1'b0"))
821           (property IS_C_INVERTED (string "1'b0"))
822           (property IS_D_INVERTED (string "1'b0"))
823           (property box_type (string "PRIMITIVE"))
824         )
825         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_44__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[44].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
826           (property INIT (string "1'b0"))
827           (property IS_CLR_INVERTED (string "1'b0"))
828           (property IS_C_INVERTED (string "1'b0"))
829           (property IS_D_INVERTED (string "1'b0"))
830           (property box_type (string "PRIMITIVE"))
831         )
832         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_45__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[45].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
833           (property INIT (string "1'b0"))
834           (property IS_CLR_INVERTED (string "1'b0"))
835           (property IS_C_INVERTED (string "1'b0"))
836           (property IS_D_INVERTED (string "1'b0"))
837           (property box_type (string "PRIMITIVE"))
838         )
839         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_46__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[46].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
840           (property INIT (string "1'b0"))
841           (property IS_CLR_INVERTED (string "1'b0"))
842           (property IS_C_INVERTED (string "1'b0"))
843           (property IS_D_INVERTED (string "1'b0"))
844           (property box_type (string "PRIMITIVE"))
845         )
846         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_47__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[47].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
847           (property INIT (string "1'b0"))
848           (property IS_CLR_INVERTED (string "1'b0"))
849           (property IS_C_INVERTED (string "1'b0"))
850           (property IS_D_INVERTED (string "1'b0"))
851           (property box_type (string "PRIMITIVE"))
852         )
853         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_48__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[48].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
854           (property INIT (string "1'b0"))
855           (property IS_CLR_INVERTED (string "1'b0"))
856           (property IS_C_INVERTED (string "1'b0"))
857           (property IS_D_INVERTED (string "1'b0"))
858           (property box_type (string "PRIMITIVE"))
859         )
860         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_49__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[49].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
861           (property INIT (string "1'b0"))
862           (property IS_CLR_INVERTED (string "1'b0"))
863           (property IS_C_INVERTED (string "1'b0"))
864           (property IS_D_INVERTED (string "1'b0"))
865           (property box_type (string "PRIMITIVE"))
866         )
867         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[4].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
868           (property INIT (string "1'b0"))
869           (property IS_CLR_INVERTED (string "1'b0"))
870           (property IS_C_INVERTED (string "1'b0"))
871           (property IS_D_INVERTED (string "1'b0"))
872           (property box_type (string "PRIMITIVE"))
873         )
874         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_50__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[50].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
875           (property INIT (string "1'b0"))
876           (property IS_CLR_INVERTED (string "1'b0"))
877           (property IS_C_INVERTED (string "1'b0"))
878           (property IS_D_INVERTED (string "1'b0"))
879           (property box_type (string "PRIMITIVE"))
880         )
881         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_51__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[51].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
882           (property INIT (string "1'b0"))
883           (property IS_CLR_INVERTED (string "1'b0"))
884           (property IS_C_INVERTED (string "1'b0"))
885           (property IS_D_INVERTED (string "1'b0"))
886           (property box_type (string "PRIMITIVE"))
887         )
888         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_52__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[52].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
889           (property INIT (string "1'b0"))
890           (property IS_CLR_INVERTED (string "1'b0"))
891           (property IS_C_INVERTED (string "1'b0"))
892           (property IS_D_INVERTED (string "1'b0"))
893           (property box_type (string "PRIMITIVE"))
894         )
895         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_53__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[53].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
896           (property INIT (string "1'b0"))
897           (property IS_CLR_INVERTED (string "1'b0"))
898           (property IS_C_INVERTED (string "1'b0"))
899           (property IS_D_INVERTED (string "1'b0"))
900           (property box_type (string "PRIMITIVE"))
901         )
902         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_54__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[54].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
903           (property INIT (string "1'b0"))
904           (property IS_CLR_INVERTED (string "1'b0"))
905           (property IS_C_INVERTED (string "1'b0"))
906           (property IS_D_INVERTED (string "1'b0"))
907           (property box_type (string "PRIMITIVE"))
908         )
909         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_55__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[55].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
910           (property INIT (string "1'b0"))
911           (property IS_CLR_INVERTED (string "1'b0"))
912           (property IS_C_INVERTED (string "1'b0"))
913           (property IS_D_INVERTED (string "1'b0"))
914           (property box_type (string "PRIMITIVE"))
915         )
916         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_56__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[56].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
917           (property INIT (string "1'b0"))
918           (property IS_CLR_INVERTED (string "1'b0"))
919           (property IS_C_INVERTED (string "1'b0"))
920           (property IS_D_INVERTED (string "1'b0"))
921           (property box_type (string "PRIMITIVE"))
922         )
923         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_57__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[57].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
924           (property INIT (string "1'b0"))
925           (property IS_CLR_INVERTED (string "1'b0"))
926           (property IS_C_INVERTED (string "1'b0"))
927           (property IS_D_INVERTED (string "1'b0"))
928           (property box_type (string "PRIMITIVE"))
929         )
930         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_58__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[58].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
931           (property INIT (string "1'b0"))
932           (property IS_CLR_INVERTED (string "1'b0"))
933           (property IS_C_INVERTED (string "1'b0"))
934           (property IS_D_INVERTED (string "1'b0"))
935           (property box_type (string "PRIMITIVE"))
936         )
937         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_59__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[59].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
938           (property INIT (string "1'b0"))
939           (property IS_CLR_INVERTED (string "1'b0"))
940           (property IS_C_INVERTED (string "1'b0"))
941           (property IS_D_INVERTED (string "1'b0"))
942           (property box_type (string "PRIMITIVE"))
943         )
944         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[5].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
945           (property INIT (string "1'b0"))
946           (property IS_CLR_INVERTED (string "1'b0"))
947           (property IS_C_INVERTED (string "1'b0"))
948           (property IS_D_INVERTED (string "1'b0"))
949           (property box_type (string "PRIMITIVE"))
950         )
951         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_60__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[60].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
952           (property INIT (string "1'b0"))
953           (property IS_CLR_INVERTED (string "1'b0"))
954           (property IS_C_INVERTED (string "1'b0"))
955           (property IS_D_INVERTED (string "1'b0"))
956           (property box_type (string "PRIMITIVE"))
957         )
958         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_61__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[61].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
959           (property INIT (string "1'b0"))
960           (property IS_CLR_INVERTED (string "1'b0"))
961           (property IS_C_INVERTED (string "1'b0"))
962           (property IS_D_INVERTED (string "1'b0"))
963           (property box_type (string "PRIMITIVE"))
964         )
965         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_62__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[62].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
966           (property INIT (string "1'b0"))
967           (property IS_CLR_INVERTED (string "1'b0"))
968           (property IS_C_INVERTED (string "1'b0"))
969           (property IS_D_INVERTED (string "1'b0"))
970           (property box_type (string "PRIMITIVE"))
971         )
972         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_63__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[63].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
973           (property INIT (string "1'b0"))
974           (property IS_CLR_INVERTED (string "1'b0"))
975           (property IS_C_INVERTED (string "1'b0"))
976           (property IS_D_INVERTED (string "1'b0"))
977           (property box_type (string "PRIMITIVE"))
978         )
979         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_64__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[64].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
980           (property INIT (string "1'b0"))
981           (property IS_CLR_INVERTED (string "1'b0"))
982           (property IS_C_INVERTED (string "1'b0"))
983           (property IS_D_INVERTED (string "1'b0"))
984           (property box_type (string "PRIMITIVE"))
985         )
986         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_65__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[65].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
987           (property INIT (string "1'b0"))
988           (property IS_CLR_INVERTED (string "1'b0"))
989           (property IS_C_INVERTED (string "1'b0"))
990           (property IS_D_INVERTED (string "1'b0"))
991           (property box_type (string "PRIMITIVE"))
992         )
993         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_66__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[66].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
994           (property INIT (string "1'b0"))
995           (property IS_CLR_INVERTED (string "1'b0"))
996           (property IS_C_INVERTED (string "1'b0"))
997           (property IS_D_INVERTED (string "1'b0"))
998           (property box_type (string "PRIMITIVE"))
999         )
1000         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_67__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[67].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1001           (property INIT (string "1'b0"))
1002           (property IS_CLR_INVERTED (string "1'b0"))
1003           (property IS_C_INVERTED (string "1'b0"))
1004           (property IS_D_INVERTED (string "1'b0"))
1005           (property box_type (string "PRIMITIVE"))
1006         )
1007         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_68__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[68].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1008           (property INIT (string "1'b0"))
1009           (property IS_CLR_INVERTED (string "1'b0"))
1010           (property IS_C_INVERTED (string "1'b0"))
1011           (property IS_D_INVERTED (string "1'b0"))
1012           (property box_type (string "PRIMITIVE"))
1013         )
1014         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_69__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[69].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1015           (property INIT (string "1'b0"))
1016           (property IS_CLR_INVERTED (string "1'b0"))
1017           (property IS_C_INVERTED (string "1'b0"))
1018           (property IS_D_INVERTED (string "1'b0"))
1019           (property box_type (string "PRIMITIVE"))
1020         )
1021         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[6].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1022           (property INIT (string "1'b0"))
1023           (property IS_CLR_INVERTED (string "1'b0"))
1024           (property IS_C_INVERTED (string "1'b0"))
1025           (property IS_D_INVERTED (string "1'b0"))
1026           (property box_type (string "PRIMITIVE"))
1027         )
1028         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_70__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[70].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1029           (property INIT (string "1'b0"))
1030           (property IS_CLR_INVERTED (string "1'b0"))
1031           (property IS_C_INVERTED (string "1'b0"))
1032           (property IS_D_INVERTED (string "1'b0"))
1033           (property box_type (string "PRIMITIVE"))
1034         )
1035         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_71__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[71].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1036           (property INIT (string "1'b0"))
1037           (property IS_CLR_INVERTED (string "1'b0"))
1038           (property IS_C_INVERTED (string "1'b0"))
1039           (property IS_D_INVERTED (string "1'b0"))
1040           (property box_type (string "PRIMITIVE"))
1041         )
1042         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_72__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[72].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1043           (property INIT (string "1'b0"))
1044           (property IS_CLR_INVERTED (string "1'b0"))
1045           (property IS_C_INVERTED (string "1'b0"))
1046           (property IS_D_INVERTED (string "1'b0"))
1047           (property box_type (string "PRIMITIVE"))
1048         )
1049         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_73__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[73].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1050           (property INIT (string "1'b0"))
1051           (property IS_CLR_INVERTED (string "1'b0"))
1052           (property IS_C_INVERTED (string "1'b0"))
1053           (property IS_D_INVERTED (string "1'b0"))
1054           (property box_type (string "PRIMITIVE"))
1055         )
1056         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_74__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[74].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1057           (property INIT (string "1'b0"))
1058           (property IS_CLR_INVERTED (string "1'b0"))
1059           (property IS_C_INVERTED (string "1'b0"))
1060           (property IS_D_INVERTED (string "1'b0"))
1061           (property box_type (string "PRIMITIVE"))
1062         )
1063         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_75__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[75].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1064           (property INIT (string "1'b0"))
1065           (property IS_CLR_INVERTED (string "1'b0"))
1066           (property IS_C_INVERTED (string "1'b0"))
1067           (property IS_D_INVERTED (string "1'b0"))
1068           (property box_type (string "PRIMITIVE"))
1069         )
1070         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_76__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[76].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1071           (property INIT (string "1'b0"))
1072           (property IS_CLR_INVERTED (string "1'b0"))
1073           (property IS_C_INVERTED (string "1'b0"))
1074           (property IS_D_INVERTED (string "1'b0"))
1075           (property box_type (string "PRIMITIVE"))
1076         )
1077         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_77__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[77].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1078           (property INIT (string "1'b0"))
1079           (property IS_CLR_INVERTED (string "1'b0"))
1080           (property IS_C_INVERTED (string "1'b0"))
1081           (property IS_D_INVERTED (string "1'b0"))
1082           (property box_type (string "PRIMITIVE"))
1083         )
1084         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_78__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[78].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1085           (property INIT (string "1'b0"))
1086           (property IS_CLR_INVERTED (string "1'b0"))
1087           (property IS_C_INVERTED (string "1'b0"))
1088           (property IS_D_INVERTED (string "1'b0"))
1089           (property box_type (string "PRIMITIVE"))
1090         )
1091         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_79__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[79].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1092           (property INIT (string "1'b0"))
1093           (property IS_CLR_INVERTED (string "1'b0"))
1094           (property IS_C_INVERTED (string "1'b0"))
1095           (property IS_D_INVERTED (string "1'b0"))
1096           (property box_type (string "PRIMITIVE"))
1097         )
1098         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[7].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1099           (property INIT (string "1'b0"))
1100           (property IS_CLR_INVERTED (string "1'b0"))
1101           (property IS_C_INVERTED (string "1'b0"))
1102           (property IS_D_INVERTED (string "1'b0"))
1103           (property box_type (string "PRIMITIVE"))
1104         )
1105         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[8].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1106           (property INIT (string "1'b0"))
1107           (property IS_CLR_INVERTED (string "1'b0"))
1108           (property IS_C_INVERTED (string "1'b0"))
1109           (property IS_D_INVERTED (string "1'b0"))
1110           (property box_type (string "PRIMITIVE"))
1111         )
1112         (instance (rename IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.ODataFlop/GenFlops[9].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1113           (property INIT (string "1'b0"))
1114           (property IS_CLR_INVERTED (string "1'b0"))
1115           (property IS_C_INVERTED (string "1'b0"))
1116           (property IS_D_INVERTED (string "1'b0"))
1117           (property box_type (string "PRIMITIVE"))
1118         )
1119         (instance (rename IncomingOffsetHs_HBx_BlkOut_oDataValid_reg "IncomingOffsetHs/HBx/BlkOut.oDataValid_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1120           (property INIT (string "1'b0"))
1121         )
1122         (instance (rename IncomingOffsetHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.oPushToggle1x/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1123           (property INIT (string "1'b0"))
1124           (property IS_CLR_INVERTED (string "1'b0"))
1125           (property IS_C_INVERTED (string "1'b0"))
1126           (property IS_D_INVERTED (string "1'b0"))
1127           (property ASYNC_REG (boolean (true)))
1128           (property box_type (string "PRIMITIVE"))
1129         )
1130         (instance (rename IncomingOffsetHs_HBx_BlkOut_oPushToggle2_reg "IncomingOffsetHs/HBx/BlkOut.oPushToggle2_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1131           (property INIT (string "1'b0"))
1132         )
1133         (instance (rename IncomingOffsetHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx "IncomingOffsetHs/HBx/BlkOut.oPushToggle_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1134           (property INIT (string "1'b0"))
1135           (property IS_CLR_INVERTED (string "1'b0"))
1136           (property IS_C_INVERTED (string "1'b0"))
1137           (property IS_D_INVERTED (string "1'b0"))
1138           (property ASYNC_REG (boolean (true)))
1139           (property box_type (string "PRIMITIVE"))
1140         )
1141         (instance (rename OffsetsDsGen_0__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[0].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1142           (property INIT (string "1'b0"))
1143           (property IS_CLR_INVERTED (string "1'b0"))
1144           (property IS_C_INVERTED (string "1'b0"))
1145           (property IS_D_INVERTED (string "1'b0"))
1146           (property ASYNC_REG (boolean (true)))
1147           (property box_type (string "PRIMITIVE"))
1148         )
1149         (instance (rename OffsetsDsGen_0__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[0].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1150           (property INIT (string "1'b0"))
1151           (property IS_CLR_INVERTED (string "1'b0"))
1152           (property IS_C_INVERTED (string "1'b0"))
1153           (property IS_D_INVERTED (string "1'b0"))
1154           (property ASYNC_REG (boolean (true)))
1155           (property box_type (string "PRIMITIVE"))
1156         )
1157         (instance (rename OffsetsDsGen_10__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[10].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1158           (property INIT (string "1'b0"))
1159           (property IS_CLR_INVERTED (string "1'b0"))
1160           (property IS_C_INVERTED (string "1'b0"))
1161           (property IS_D_INVERTED (string "1'b0"))
1162           (property ASYNC_REG (boolean (true)))
1163           (property box_type (string "PRIMITIVE"))
1164         )
1165         (instance (rename OffsetsDsGen_10__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[10].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1166           (property INIT (string "1'b0"))
1167           (property IS_CLR_INVERTED (string "1'b0"))
1168           (property IS_C_INVERTED (string "1'b0"))
1169           (property IS_D_INVERTED (string "1'b0"))
1170           (property ASYNC_REG (boolean (true)))
1171           (property box_type (string "PRIMITIVE"))
1172         )
1173         (instance (rename OffsetsDsGen_11__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[11].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1174           (property INIT (string "1'b0"))
1175           (property IS_CLR_INVERTED (string "1'b0"))
1176           (property IS_C_INVERTED (string "1'b0"))
1177           (property IS_D_INVERTED (string "1'b0"))
1178           (property ASYNC_REG (boolean (true)))
1179           (property box_type (string "PRIMITIVE"))
1180         )
1181         (instance (rename OffsetsDsGen_11__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[11].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1182           (property INIT (string "1'b0"))
1183           (property IS_CLR_INVERTED (string "1'b0"))
1184           (property IS_C_INVERTED (string "1'b0"))
1185           (property IS_D_INVERTED (string "1'b0"))
1186           (property ASYNC_REG (boolean (true)))
1187           (property box_type (string "PRIMITIVE"))
1188         )
1189         (instance (rename OffsetsDsGen_12__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[12].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1190           (property INIT (string "1'b0"))
1191           (property IS_CLR_INVERTED (string "1'b0"))
1192           (property IS_C_INVERTED (string "1'b0"))
1193           (property IS_D_INVERTED (string "1'b0"))
1194           (property ASYNC_REG (boolean (true)))
1195           (property box_type (string "PRIMITIVE"))
1196         )
1197         (instance (rename OffsetsDsGen_12__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[12].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1198           (property INIT (string "1'b0"))
1199           (property IS_CLR_INVERTED (string "1'b0"))
1200           (property IS_C_INVERTED (string "1'b0"))
1201           (property IS_D_INVERTED (string "1'b0"))
1202           (property ASYNC_REG (boolean (true)))
1203           (property box_type (string "PRIMITIVE"))
1204         )
1205         (instance (rename OffsetsDsGen_13__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[13].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1206           (property INIT (string "1'b0"))
1207           (property IS_CLR_INVERTED (string "1'b0"))
1208           (property IS_C_INVERTED (string "1'b0"))
1209           (property IS_D_INVERTED (string "1'b0"))
1210           (property ASYNC_REG (boolean (true)))
1211           (property box_type (string "PRIMITIVE"))
1212         )
1213         (instance (rename OffsetsDsGen_13__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[13].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1214           (property INIT (string "1'b0"))
1215           (property IS_CLR_INVERTED (string "1'b0"))
1216           (property IS_C_INVERTED (string "1'b0"))
1217           (property IS_D_INVERTED (string "1'b0"))
1218           (property ASYNC_REG (boolean (true)))
1219           (property box_type (string "PRIMITIVE"))
1220         )
1221         (instance (rename OffsetsDsGen_14__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[14].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1222           (property INIT (string "1'b0"))
1223           (property IS_CLR_INVERTED (string "1'b0"))
1224           (property IS_C_INVERTED (string "1'b0"))
1225           (property IS_D_INVERTED (string "1'b0"))
1226           (property ASYNC_REG (boolean (true)))
1227           (property box_type (string "PRIMITIVE"))
1228         )
1229         (instance (rename OffsetsDsGen_14__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[14].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1230           (property INIT (string "1'b0"))
1231           (property IS_CLR_INVERTED (string "1'b0"))
1232           (property IS_C_INVERTED (string "1'b0"))
1233           (property IS_D_INVERTED (string "1'b0"))
1234           (property ASYNC_REG (boolean (true)))
1235           (property box_type (string "PRIMITIVE"))
1236         )
1237         (instance (rename OffsetsDsGen_15__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[15].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1238           (property INIT (string "1'b0"))
1239           (property IS_CLR_INVERTED (string "1'b0"))
1240           (property IS_C_INVERTED (string "1'b0"))
1241           (property IS_D_INVERTED (string "1'b0"))
1242           (property ASYNC_REG (boolean (true)))
1243           (property box_type (string "PRIMITIVE"))
1244         )
1245         (instance (rename OffsetsDsGen_15__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[15].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1246           (property INIT (string "1'b0"))
1247           (property IS_CLR_INVERTED (string "1'b0"))
1248           (property IS_C_INVERTED (string "1'b0"))
1249           (property IS_D_INVERTED (string "1'b0"))
1250           (property ASYNC_REG (boolean (true)))
1251           (property box_type (string "PRIMITIVE"))
1252         )
1253         (instance (rename OffsetsDsGen_16__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[16].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1254           (property INIT (string "1'b0"))
1255           (property IS_CLR_INVERTED (string "1'b0"))
1256           (property IS_C_INVERTED (string "1'b0"))
1257           (property IS_D_INVERTED (string "1'b0"))
1258           (property ASYNC_REG (boolean (true)))
1259           (property box_type (string "PRIMITIVE"))
1260         )
1261         (instance (rename OffsetsDsGen_16__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[16].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1262           (property INIT (string "1'b0"))
1263           (property IS_CLR_INVERTED (string "1'b0"))
1264           (property IS_C_INVERTED (string "1'b0"))
1265           (property IS_D_INVERTED (string "1'b0"))
1266           (property ASYNC_REG (boolean (true)))
1267           (property box_type (string "PRIMITIVE"))
1268         )
1269         (instance (rename OffsetsDsGen_17__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[17].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1270           (property INIT (string "1'b0"))
1271           (property IS_CLR_INVERTED (string "1'b0"))
1272           (property IS_C_INVERTED (string "1'b0"))
1273           (property IS_D_INVERTED (string "1'b0"))
1274           (property ASYNC_REG (boolean (true)))
1275           (property box_type (string "PRIMITIVE"))
1276         )
1277         (instance (rename OffsetsDsGen_17__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[17].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1278           (property INIT (string "1'b0"))
1279           (property IS_CLR_INVERTED (string "1'b0"))
1280           (property IS_C_INVERTED (string "1'b0"))
1281           (property IS_D_INVERTED (string "1'b0"))
1282           (property ASYNC_REG (boolean (true)))
1283           (property box_type (string "PRIMITIVE"))
1284         )
1285         (instance (rename OffsetsDsGen_18__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[18].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1286           (property INIT (string "1'b0"))
1287           (property IS_CLR_INVERTED (string "1'b0"))
1288           (property IS_C_INVERTED (string "1'b0"))
1289           (property IS_D_INVERTED (string "1'b0"))
1290           (property ASYNC_REG (boolean (true)))
1291           (property box_type (string "PRIMITIVE"))
1292         )
1293         (instance (rename OffsetsDsGen_18__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[18].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1294           (property INIT (string "1'b0"))
1295           (property IS_CLR_INVERTED (string "1'b0"))
1296           (property IS_C_INVERTED (string "1'b0"))
1297           (property IS_D_INVERTED (string "1'b0"))
1298           (property ASYNC_REG (boolean (true)))
1299           (property box_type (string "PRIMITIVE"))
1300         )
1301         (instance (rename OffsetsDsGen_19__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[19].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1302           (property INIT (string "1'b0"))
1303           (property IS_CLR_INVERTED (string "1'b0"))
1304           (property IS_C_INVERTED (string "1'b0"))
1305           (property IS_D_INVERTED (string "1'b0"))
1306           (property ASYNC_REG (boolean (true)))
1307           (property box_type (string "PRIMITIVE"))
1308         )
1309         (instance (rename OffsetsDsGen_19__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[19].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1310           (property INIT (string "1'b0"))
1311           (property IS_CLR_INVERTED (string "1'b0"))
1312           (property IS_C_INVERTED (string "1'b0"))
1313           (property IS_D_INVERTED (string "1'b0"))
1314           (property ASYNC_REG (boolean (true)))
1315           (property box_type (string "PRIMITIVE"))
1316         )
1317         (instance (rename OffsetsDsGen_1__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[1].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1318           (property INIT (string "1'b0"))
1319           (property IS_CLR_INVERTED (string "1'b0"))
1320           (property IS_C_INVERTED (string "1'b0"))
1321           (property IS_D_INVERTED (string "1'b0"))
1322           (property ASYNC_REG (boolean (true)))
1323           (property box_type (string "PRIMITIVE"))
1324         )
1325         (instance (rename OffsetsDsGen_1__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[1].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1326           (property INIT (string "1'b0"))
1327           (property IS_CLR_INVERTED (string "1'b0"))
1328           (property IS_C_INVERTED (string "1'b0"))
1329           (property IS_D_INVERTED (string "1'b0"))
1330           (property ASYNC_REG (boolean (true)))
1331           (property box_type (string "PRIMITIVE"))
1332         )
1333         (instance (rename OffsetsDsGen_20__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[20].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1334           (property INIT (string "1'b0"))
1335           (property IS_CLR_INVERTED (string "1'b0"))
1336           (property IS_C_INVERTED (string "1'b0"))
1337           (property IS_D_INVERTED (string "1'b0"))
1338           (property ASYNC_REG (boolean (true)))
1339           (property box_type (string "PRIMITIVE"))
1340         )
1341         (instance (rename OffsetsDsGen_20__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[20].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1342           (property INIT (string "1'b0"))
1343           (property IS_CLR_INVERTED (string "1'b0"))
1344           (property IS_C_INVERTED (string "1'b0"))
1345           (property IS_D_INVERTED (string "1'b0"))
1346           (property ASYNC_REG (boolean (true)))
1347           (property box_type (string "PRIMITIVE"))
1348         )
1349         (instance (rename OffsetsDsGen_21__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[21].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1350           (property INIT (string "1'b0"))
1351           (property IS_CLR_INVERTED (string "1'b0"))
1352           (property IS_C_INVERTED (string "1'b0"))
1353           (property IS_D_INVERTED (string "1'b0"))
1354           (property ASYNC_REG (boolean (true)))
1355           (property box_type (string "PRIMITIVE"))
1356         )
1357         (instance (rename OffsetsDsGen_21__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[21].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1358           (property INIT (string "1'b0"))
1359           (property IS_CLR_INVERTED (string "1'b0"))
1360           (property IS_C_INVERTED (string "1'b0"))
1361           (property IS_D_INVERTED (string "1'b0"))
1362           (property ASYNC_REG (boolean (true)))
1363           (property box_type (string "PRIMITIVE"))
1364         )
1365         (instance (rename OffsetsDsGen_22__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[22].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1366           (property INIT (string "1'b0"))
1367           (property IS_CLR_INVERTED (string "1'b0"))
1368           (property IS_C_INVERTED (string "1'b0"))
1369           (property IS_D_INVERTED (string "1'b0"))
1370           (property ASYNC_REG (boolean (true)))
1371           (property box_type (string "PRIMITIVE"))
1372         )
1373         (instance (rename OffsetsDsGen_22__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[22].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1374           (property INIT (string "1'b0"))
1375           (property IS_CLR_INVERTED (string "1'b0"))
1376           (property IS_C_INVERTED (string "1'b0"))
1377           (property IS_D_INVERTED (string "1'b0"))
1378           (property ASYNC_REG (boolean (true)))
1379           (property box_type (string "PRIMITIVE"))
1380         )
1381         (instance (rename OffsetsDsGen_23__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[23].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1382           (property INIT (string "1'b0"))
1383           (property IS_CLR_INVERTED (string "1'b0"))
1384           (property IS_C_INVERTED (string "1'b0"))
1385           (property IS_D_INVERTED (string "1'b0"))
1386           (property ASYNC_REG (boolean (true)))
1387           (property box_type (string "PRIMITIVE"))
1388         )
1389         (instance (rename OffsetsDsGen_23__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[23].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1390           (property INIT (string "1'b0"))
1391           (property IS_CLR_INVERTED (string "1'b0"))
1392           (property IS_C_INVERTED (string "1'b0"))
1393           (property IS_D_INVERTED (string "1'b0"))
1394           (property ASYNC_REG (boolean (true)))
1395           (property box_type (string "PRIMITIVE"))
1396         )
1397         (instance (rename OffsetsDsGen_24__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[24].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1398           (property INIT (string "1'b0"))
1399           (property IS_CLR_INVERTED (string "1'b0"))
1400           (property IS_C_INVERTED (string "1'b0"))
1401           (property IS_D_INVERTED (string "1'b0"))
1402           (property ASYNC_REG (boolean (true)))
1403           (property box_type (string "PRIMITIVE"))
1404         )
1405         (instance (rename OffsetsDsGen_24__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[24].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1406           (property INIT (string "1'b0"))
1407           (property IS_CLR_INVERTED (string "1'b0"))
1408           (property IS_C_INVERTED (string "1'b0"))
1409           (property IS_D_INVERTED (string "1'b0"))
1410           (property ASYNC_REG (boolean (true)))
1411           (property box_type (string "PRIMITIVE"))
1412         )
1413         (instance (rename OffsetsDsGen_25__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[25].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1414           (property INIT (string "1'b0"))
1415           (property IS_CLR_INVERTED (string "1'b0"))
1416           (property IS_C_INVERTED (string "1'b0"))
1417           (property IS_D_INVERTED (string "1'b0"))
1418           (property ASYNC_REG (boolean (true)))
1419           (property box_type (string "PRIMITIVE"))
1420         )
1421         (instance (rename OffsetsDsGen_25__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[25].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1422           (property INIT (string "1'b0"))
1423           (property IS_CLR_INVERTED (string "1'b0"))
1424           (property IS_C_INVERTED (string "1'b0"))
1425           (property IS_D_INVERTED (string "1'b0"))
1426           (property ASYNC_REG (boolean (true)))
1427           (property box_type (string "PRIMITIVE"))
1428         )
1429         (instance (rename OffsetsDsGen_26__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[26].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1430           (property INIT (string "1'b0"))
1431           (property IS_CLR_INVERTED (string "1'b0"))
1432           (property IS_C_INVERTED (string "1'b0"))
1433           (property IS_D_INVERTED (string "1'b0"))
1434           (property ASYNC_REG (boolean (true)))
1435           (property box_type (string "PRIMITIVE"))
1436         )
1437         (instance (rename OffsetsDsGen_26__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[26].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1438           (property INIT (string "1'b0"))
1439           (property IS_CLR_INVERTED (string "1'b0"))
1440           (property IS_C_INVERTED (string "1'b0"))
1441           (property IS_D_INVERTED (string "1'b0"))
1442           (property ASYNC_REG (boolean (true)))
1443           (property box_type (string "PRIMITIVE"))
1444         )
1445         (instance (rename OffsetsDsGen_27__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[27].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1446           (property INIT (string "1'b0"))
1447           (property IS_CLR_INVERTED (string "1'b0"))
1448           (property IS_C_INVERTED (string "1'b0"))
1449           (property IS_D_INVERTED (string "1'b0"))
1450           (property ASYNC_REG (boolean (true)))
1451           (property box_type (string "PRIMITIVE"))
1452         )
1453         (instance (rename OffsetsDsGen_27__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[27].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1454           (property INIT (string "1'b0"))
1455           (property IS_CLR_INVERTED (string "1'b0"))
1456           (property IS_C_INVERTED (string "1'b0"))
1457           (property IS_D_INVERTED (string "1'b0"))
1458           (property ASYNC_REG (boolean (true)))
1459           (property box_type (string "PRIMITIVE"))
1460         )
1461         (instance (rename OffsetsDsGen_28__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[28].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1462           (property INIT (string "1'b0"))
1463           (property IS_CLR_INVERTED (string "1'b0"))
1464           (property IS_C_INVERTED (string "1'b0"))
1465           (property IS_D_INVERTED (string "1'b0"))
1466           (property ASYNC_REG (boolean (true)))
1467           (property box_type (string "PRIMITIVE"))
1468         )
1469         (instance (rename OffsetsDsGen_28__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[28].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1470           (property INIT (string "1'b0"))
1471           (property IS_CLR_INVERTED (string "1'b0"))
1472           (property IS_C_INVERTED (string "1'b0"))
1473           (property IS_D_INVERTED (string "1'b0"))
1474           (property ASYNC_REG (boolean (true)))
1475           (property box_type (string "PRIMITIVE"))
1476         )
1477         (instance (rename OffsetsDsGen_29__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[29].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1478           (property INIT (string "1'b0"))
1479           (property IS_CLR_INVERTED (string "1'b0"))
1480           (property IS_C_INVERTED (string "1'b0"))
1481           (property IS_D_INVERTED (string "1'b0"))
1482           (property ASYNC_REG (boolean (true)))
1483           (property box_type (string "PRIMITIVE"))
1484         )
1485         (instance (rename OffsetsDsGen_29__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[29].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1486           (property INIT (string "1'b0"))
1487           (property IS_CLR_INVERTED (string "1'b0"))
1488           (property IS_C_INVERTED (string "1'b0"))
1489           (property IS_D_INVERTED (string "1'b0"))
1490           (property ASYNC_REG (boolean (true)))
1491           (property box_type (string "PRIMITIVE"))
1492         )
1493         (instance (rename OffsetsDsGen_2__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[2].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1494           (property INIT (string "1'b0"))
1495           (property IS_CLR_INVERTED (string "1'b0"))
1496           (property IS_C_INVERTED (string "1'b0"))
1497           (property IS_D_INVERTED (string "1'b0"))
1498           (property ASYNC_REG (boolean (true)))
1499           (property box_type (string "PRIMITIVE"))
1500         )
1501         (instance (rename OffsetsDsGen_2__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[2].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1502           (property INIT (string "1'b0"))
1503           (property IS_CLR_INVERTED (string "1'b0"))
1504           (property IS_C_INVERTED (string "1'b0"))
1505           (property IS_D_INVERTED (string "1'b0"))
1506           (property ASYNC_REG (boolean (true)))
1507           (property box_type (string "PRIMITIVE"))
1508         )
1509         (instance (rename OffsetsDsGen_30__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[30].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1510           (property INIT (string "1'b0"))
1511           (property IS_CLR_INVERTED (string "1'b0"))
1512           (property IS_C_INVERTED (string "1'b0"))
1513           (property IS_D_INVERTED (string "1'b0"))
1514           (property ASYNC_REG (boolean (true)))
1515           (property box_type (string "PRIMITIVE"))
1516         )
1517         (instance (rename OffsetsDsGen_30__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[30].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1518           (property INIT (string "1'b0"))
1519           (property IS_CLR_INVERTED (string "1'b0"))
1520           (property IS_C_INVERTED (string "1'b0"))
1521           (property IS_D_INVERTED (string "1'b0"))
1522           (property ASYNC_REG (boolean (true)))
1523           (property box_type (string "PRIMITIVE"))
1524         )
1525         (instance (rename OffsetsDsGen_31__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[31].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1526           (property INIT (string "1'b0"))
1527           (property IS_CLR_INVERTED (string "1'b0"))
1528           (property IS_C_INVERTED (string "1'b0"))
1529           (property IS_D_INVERTED (string "1'b0"))
1530           (property ASYNC_REG (boolean (true)))
1531           (property box_type (string "PRIMITIVE"))
1532         )
1533         (instance (rename OffsetsDsGen_31__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[31].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1534           (property INIT (string "1'b0"))
1535           (property IS_CLR_INVERTED (string "1'b0"))
1536           (property IS_C_INVERTED (string "1'b0"))
1537           (property IS_D_INVERTED (string "1'b0"))
1538           (property ASYNC_REG (boolean (true)))
1539           (property box_type (string "PRIMITIVE"))
1540         )
1541         (instance (rename OffsetsDsGen_32__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[32].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1542           (property INIT (string "1'b0"))
1543           (property IS_CLR_INVERTED (string "1'b0"))
1544           (property IS_C_INVERTED (string "1'b0"))
1545           (property IS_D_INVERTED (string "1'b0"))
1546           (property ASYNC_REG (boolean (true)))
1547           (property box_type (string "PRIMITIVE"))
1548         )
1549         (instance (rename OffsetsDsGen_32__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[32].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1550           (property INIT (string "1'b0"))
1551           (property IS_CLR_INVERTED (string "1'b0"))
1552           (property IS_C_INVERTED (string "1'b0"))
1553           (property IS_D_INVERTED (string "1'b0"))
1554           (property ASYNC_REG (boolean (true)))
1555           (property box_type (string "PRIMITIVE"))
1556         )
1557         (instance (rename OffsetsDsGen_33__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[33].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1558           (property INIT (string "1'b0"))
1559           (property IS_CLR_INVERTED (string "1'b0"))
1560           (property IS_C_INVERTED (string "1'b0"))
1561           (property IS_D_INVERTED (string "1'b0"))
1562           (property ASYNC_REG (boolean (true)))
1563           (property box_type (string "PRIMITIVE"))
1564         )
1565         (instance (rename OffsetsDsGen_33__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[33].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1566           (property INIT (string "1'b0"))
1567           (property IS_CLR_INVERTED (string "1'b0"))
1568           (property IS_C_INVERTED (string "1'b0"))
1569           (property IS_D_INVERTED (string "1'b0"))
1570           (property ASYNC_REG (boolean (true)))
1571           (property box_type (string "PRIMITIVE"))
1572         )
1573         (instance (rename OffsetsDsGen_34__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[34].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1574           (property INIT (string "1'b0"))
1575           (property IS_CLR_INVERTED (string "1'b0"))
1576           (property IS_C_INVERTED (string "1'b0"))
1577           (property IS_D_INVERTED (string "1'b0"))
1578           (property ASYNC_REG (boolean (true)))
1579           (property box_type (string "PRIMITIVE"))
1580         )
1581         (instance (rename OffsetsDsGen_34__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[34].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1582           (property INIT (string "1'b0"))
1583           (property IS_CLR_INVERTED (string "1'b0"))
1584           (property IS_C_INVERTED (string "1'b0"))
1585           (property IS_D_INVERTED (string "1'b0"))
1586           (property ASYNC_REG (boolean (true)))
1587           (property box_type (string "PRIMITIVE"))
1588         )
1589         (instance (rename OffsetsDsGen_35__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[35].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1590           (property INIT (string "1'b0"))
1591           (property IS_CLR_INVERTED (string "1'b0"))
1592           (property IS_C_INVERTED (string "1'b0"))
1593           (property IS_D_INVERTED (string "1'b0"))
1594           (property ASYNC_REG (boolean (true)))
1595           (property box_type (string "PRIMITIVE"))
1596         )
1597         (instance (rename OffsetsDsGen_35__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[35].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1598           (property INIT (string "1'b0"))
1599           (property IS_CLR_INVERTED (string "1'b0"))
1600           (property IS_C_INVERTED (string "1'b0"))
1601           (property IS_D_INVERTED (string "1'b0"))
1602           (property ASYNC_REG (boolean (true)))
1603           (property box_type (string "PRIMITIVE"))
1604         )
1605         (instance (rename OffsetsDsGen_36__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[36].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1606           (property INIT (string "1'b0"))
1607           (property IS_CLR_INVERTED (string "1'b0"))
1608           (property IS_C_INVERTED (string "1'b0"))
1609           (property IS_D_INVERTED (string "1'b0"))
1610           (property ASYNC_REG (boolean (true)))
1611           (property box_type (string "PRIMITIVE"))
1612         )
1613         (instance (rename OffsetsDsGen_36__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[36].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1614           (property INIT (string "1'b0"))
1615           (property IS_CLR_INVERTED (string "1'b0"))
1616           (property IS_C_INVERTED (string "1'b0"))
1617           (property IS_D_INVERTED (string "1'b0"))
1618           (property ASYNC_REG (boolean (true)))
1619           (property box_type (string "PRIMITIVE"))
1620         )
1621         (instance (rename OffsetsDsGen_37__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[37].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1622           (property INIT (string "1'b0"))
1623           (property IS_CLR_INVERTED (string "1'b0"))
1624           (property IS_C_INVERTED (string "1'b0"))
1625           (property IS_D_INVERTED (string "1'b0"))
1626           (property ASYNC_REG (boolean (true)))
1627           (property box_type (string "PRIMITIVE"))
1628         )
1629         (instance (rename OffsetsDsGen_37__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[37].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1630           (property INIT (string "1'b0"))
1631           (property IS_CLR_INVERTED (string "1'b0"))
1632           (property IS_C_INVERTED (string "1'b0"))
1633           (property IS_D_INVERTED (string "1'b0"))
1634           (property ASYNC_REG (boolean (true)))
1635           (property box_type (string "PRIMITIVE"))
1636         )
1637         (instance (rename OffsetsDsGen_38__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[38].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1638           (property INIT (string "1'b0"))
1639           (property IS_CLR_INVERTED (string "1'b0"))
1640           (property IS_C_INVERTED (string "1'b0"))
1641           (property IS_D_INVERTED (string "1'b0"))
1642           (property ASYNC_REG (boolean (true)))
1643           (property box_type (string "PRIMITIVE"))
1644         )
1645         (instance (rename OffsetsDsGen_38__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[38].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1646           (property INIT (string "1'b0"))
1647           (property IS_CLR_INVERTED (string "1'b0"))
1648           (property IS_C_INVERTED (string "1'b0"))
1649           (property IS_D_INVERTED (string "1'b0"))
1650           (property ASYNC_REG (boolean (true)))
1651           (property box_type (string "PRIMITIVE"))
1652         )
1653         (instance (rename OffsetsDsGen_39__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[39].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1654           (property INIT (string "1'b0"))
1655           (property IS_CLR_INVERTED (string "1'b0"))
1656           (property IS_C_INVERTED (string "1'b0"))
1657           (property IS_D_INVERTED (string "1'b0"))
1658           (property ASYNC_REG (boolean (true)))
1659           (property box_type (string "PRIMITIVE"))
1660         )
1661         (instance (rename OffsetsDsGen_39__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[39].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1662           (property INIT (string "1'b0"))
1663           (property IS_CLR_INVERTED (string "1'b0"))
1664           (property IS_C_INVERTED (string "1'b0"))
1665           (property IS_D_INVERTED (string "1'b0"))
1666           (property ASYNC_REG (boolean (true)))
1667           (property box_type (string "PRIMITIVE"))
1668         )
1669         (instance (rename OffsetsDsGen_3__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[3].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1670           (property INIT (string "1'b0"))
1671           (property IS_CLR_INVERTED (string "1'b0"))
1672           (property IS_C_INVERTED (string "1'b0"))
1673           (property IS_D_INVERTED (string "1'b0"))
1674           (property ASYNC_REG (boolean (true)))
1675           (property box_type (string "PRIMITIVE"))
1676         )
1677         (instance (rename OffsetsDsGen_3__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[3].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1678           (property INIT (string "1'b0"))
1679           (property IS_CLR_INVERTED (string "1'b0"))
1680           (property IS_C_INVERTED (string "1'b0"))
1681           (property IS_D_INVERTED (string "1'b0"))
1682           (property ASYNC_REG (boolean (true)))
1683           (property box_type (string "PRIMITIVE"))
1684         )
1685         (instance (rename OffsetsDsGen_40__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[40].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1686           (property INIT (string "1'b0"))
1687           (property IS_CLR_INVERTED (string "1'b0"))
1688           (property IS_C_INVERTED (string "1'b0"))
1689           (property IS_D_INVERTED (string "1'b0"))
1690           (property ASYNC_REG (boolean (true)))
1691           (property box_type (string "PRIMITIVE"))
1692         )
1693         (instance (rename OffsetsDsGen_40__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[40].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1694           (property INIT (string "1'b0"))
1695           (property IS_CLR_INVERTED (string "1'b0"))
1696           (property IS_C_INVERTED (string "1'b0"))
1697           (property IS_D_INVERTED (string "1'b0"))
1698           (property ASYNC_REG (boolean (true)))
1699           (property box_type (string "PRIMITIVE"))
1700         )
1701         (instance (rename OffsetsDsGen_41__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[41].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1702           (property INIT (string "1'b0"))
1703           (property IS_CLR_INVERTED (string "1'b0"))
1704           (property IS_C_INVERTED (string "1'b0"))
1705           (property IS_D_INVERTED (string "1'b0"))
1706           (property ASYNC_REG (boolean (true)))
1707           (property box_type (string "PRIMITIVE"))
1708         )
1709         (instance (rename OffsetsDsGen_41__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[41].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1710           (property INIT (string "1'b0"))
1711           (property IS_CLR_INVERTED (string "1'b0"))
1712           (property IS_C_INVERTED (string "1'b0"))
1713           (property IS_D_INVERTED (string "1'b0"))
1714           (property ASYNC_REG (boolean (true)))
1715           (property box_type (string "PRIMITIVE"))
1716         )
1717         (instance (rename OffsetsDsGen_42__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[42].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1718           (property INIT (string "1'b0"))
1719           (property IS_CLR_INVERTED (string "1'b0"))
1720           (property IS_C_INVERTED (string "1'b0"))
1721           (property IS_D_INVERTED (string "1'b0"))
1722           (property ASYNC_REG (boolean (true)))
1723           (property box_type (string "PRIMITIVE"))
1724         )
1725         (instance (rename OffsetsDsGen_42__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[42].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1726           (property INIT (string "1'b0"))
1727           (property IS_CLR_INVERTED (string "1'b0"))
1728           (property IS_C_INVERTED (string "1'b0"))
1729           (property IS_D_INVERTED (string "1'b0"))
1730           (property ASYNC_REG (boolean (true)))
1731           (property box_type (string "PRIMITIVE"))
1732         )
1733         (instance (rename OffsetsDsGen_43__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[43].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1734           (property INIT (string "1'b0"))
1735           (property IS_CLR_INVERTED (string "1'b0"))
1736           (property IS_C_INVERTED (string "1'b0"))
1737           (property IS_D_INVERTED (string "1'b0"))
1738           (property ASYNC_REG (boolean (true)))
1739           (property box_type (string "PRIMITIVE"))
1740         )
1741         (instance (rename OffsetsDsGen_43__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[43].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1742           (property INIT (string "1'b0"))
1743           (property IS_CLR_INVERTED (string "1'b0"))
1744           (property IS_C_INVERTED (string "1'b0"))
1745           (property IS_D_INVERTED (string "1'b0"))
1746           (property ASYNC_REG (boolean (true)))
1747           (property box_type (string "PRIMITIVE"))
1748         )
1749         (instance (rename OffsetsDsGen_44__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[44].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1750           (property INIT (string "1'b0"))
1751           (property IS_CLR_INVERTED (string "1'b0"))
1752           (property IS_C_INVERTED (string "1'b0"))
1753           (property IS_D_INVERTED (string "1'b0"))
1754           (property ASYNC_REG (boolean (true)))
1755           (property box_type (string "PRIMITIVE"))
1756         )
1757         (instance (rename OffsetsDsGen_44__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[44].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1758           (property INIT (string "1'b0"))
1759           (property IS_CLR_INVERTED (string "1'b0"))
1760           (property IS_C_INVERTED (string "1'b0"))
1761           (property IS_D_INVERTED (string "1'b0"))
1762           (property ASYNC_REG (boolean (true)))
1763           (property box_type (string "PRIMITIVE"))
1764         )
1765         (instance (rename OffsetsDsGen_45__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[45].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1766           (property INIT (string "1'b0"))
1767           (property IS_CLR_INVERTED (string "1'b0"))
1768           (property IS_C_INVERTED (string "1'b0"))
1769           (property IS_D_INVERTED (string "1'b0"))
1770           (property ASYNC_REG (boolean (true)))
1771           (property box_type (string "PRIMITIVE"))
1772         )
1773         (instance (rename OffsetsDsGen_45__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[45].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1774           (property INIT (string "1'b0"))
1775           (property IS_CLR_INVERTED (string "1'b0"))
1776           (property IS_C_INVERTED (string "1'b0"))
1777           (property IS_D_INVERTED (string "1'b0"))
1778           (property ASYNC_REG (boolean (true)))
1779           (property box_type (string "PRIMITIVE"))
1780         )
1781         (instance (rename OffsetsDsGen_46__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[46].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1782           (property INIT (string "1'b0"))
1783           (property IS_CLR_INVERTED (string "1'b0"))
1784           (property IS_C_INVERTED (string "1'b0"))
1785           (property IS_D_INVERTED (string "1'b0"))
1786           (property ASYNC_REG (boolean (true)))
1787           (property box_type (string "PRIMITIVE"))
1788         )
1789         (instance (rename OffsetsDsGen_46__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[46].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1790           (property INIT (string "1'b0"))
1791           (property IS_CLR_INVERTED (string "1'b0"))
1792           (property IS_C_INVERTED (string "1'b0"))
1793           (property IS_D_INVERTED (string "1'b0"))
1794           (property ASYNC_REG (boolean (true)))
1795           (property box_type (string "PRIMITIVE"))
1796         )
1797         (instance (rename OffsetsDsGen_47__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[47].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1798           (property INIT (string "1'b0"))
1799           (property IS_CLR_INVERTED (string "1'b0"))
1800           (property IS_C_INVERTED (string "1'b0"))
1801           (property IS_D_INVERTED (string "1'b0"))
1802           (property ASYNC_REG (boolean (true)))
1803           (property box_type (string "PRIMITIVE"))
1804         )
1805         (instance (rename OffsetsDsGen_47__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[47].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1806           (property INIT (string "1'b0"))
1807           (property IS_CLR_INVERTED (string "1'b0"))
1808           (property IS_C_INVERTED (string "1'b0"))
1809           (property IS_D_INVERTED (string "1'b0"))
1810           (property ASYNC_REG (boolean (true)))
1811           (property box_type (string "PRIMITIVE"))
1812         )
1813         (instance (rename OffsetsDsGen_48__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[48].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1814           (property INIT (string "1'b0"))
1815           (property IS_CLR_INVERTED (string "1'b0"))
1816           (property IS_C_INVERTED (string "1'b0"))
1817           (property IS_D_INVERTED (string "1'b0"))
1818           (property ASYNC_REG (boolean (true)))
1819           (property box_type (string "PRIMITIVE"))
1820         )
1821         (instance (rename OffsetsDsGen_48__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[48].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1822           (property INIT (string "1'b0"))
1823           (property IS_CLR_INVERTED (string "1'b0"))
1824           (property IS_C_INVERTED (string "1'b0"))
1825           (property IS_D_INVERTED (string "1'b0"))
1826           (property ASYNC_REG (boolean (true)))
1827           (property box_type (string "PRIMITIVE"))
1828         )
1829         (instance (rename OffsetsDsGen_49__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[49].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1830           (property INIT (string "1'b0"))
1831           (property IS_CLR_INVERTED (string "1'b0"))
1832           (property IS_C_INVERTED (string "1'b0"))
1833           (property IS_D_INVERTED (string "1'b0"))
1834           (property ASYNC_REG (boolean (true)))
1835           (property box_type (string "PRIMITIVE"))
1836         )
1837         (instance (rename OffsetsDsGen_49__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[49].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1838           (property INIT (string "1'b0"))
1839           (property IS_CLR_INVERTED (string "1'b0"))
1840           (property IS_C_INVERTED (string "1'b0"))
1841           (property IS_D_INVERTED (string "1'b0"))
1842           (property ASYNC_REG (boolean (true)))
1843           (property box_type (string "PRIMITIVE"))
1844         )
1845         (instance (rename OffsetsDsGen_4__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[4].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1846           (property INIT (string "1'b0"))
1847           (property IS_CLR_INVERTED (string "1'b0"))
1848           (property IS_C_INVERTED (string "1'b0"))
1849           (property IS_D_INVERTED (string "1'b0"))
1850           (property ASYNC_REG (boolean (true)))
1851           (property box_type (string "PRIMITIVE"))
1852         )
1853         (instance (rename OffsetsDsGen_4__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[4].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1854           (property INIT (string "1'b0"))
1855           (property IS_CLR_INVERTED (string "1'b0"))
1856           (property IS_C_INVERTED (string "1'b0"))
1857           (property IS_D_INVERTED (string "1'b0"))
1858           (property ASYNC_REG (boolean (true)))
1859           (property box_type (string "PRIMITIVE"))
1860         )
1861         (instance (rename OffsetsDsGen_50__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[50].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1862           (property INIT (string "1'b0"))
1863           (property IS_CLR_INVERTED (string "1'b0"))
1864           (property IS_C_INVERTED (string "1'b0"))
1865           (property IS_D_INVERTED (string "1'b0"))
1866           (property ASYNC_REG (boolean (true)))
1867           (property box_type (string "PRIMITIVE"))
1868         )
1869         (instance (rename OffsetsDsGen_50__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[50].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1870           (property INIT (string "1'b0"))
1871           (property IS_CLR_INVERTED (string "1'b0"))
1872           (property IS_C_INVERTED (string "1'b0"))
1873           (property IS_D_INVERTED (string "1'b0"))
1874           (property ASYNC_REG (boolean (true)))
1875           (property box_type (string "PRIMITIVE"))
1876         )
1877         (instance (rename OffsetsDsGen_51__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[51].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1878           (property INIT (string "1'b0"))
1879           (property IS_CLR_INVERTED (string "1'b0"))
1880           (property IS_C_INVERTED (string "1'b0"))
1881           (property IS_D_INVERTED (string "1'b0"))
1882           (property ASYNC_REG (boolean (true)))
1883           (property box_type (string "PRIMITIVE"))
1884         )
1885         (instance (rename OffsetsDsGen_51__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[51].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1886           (property INIT (string "1'b0"))
1887           (property IS_CLR_INVERTED (string "1'b0"))
1888           (property IS_C_INVERTED (string "1'b0"))
1889           (property IS_D_INVERTED (string "1'b0"))
1890           (property ASYNC_REG (boolean (true)))
1891           (property box_type (string "PRIMITIVE"))
1892         )
1893         (instance (rename OffsetsDsGen_52__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[52].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1894           (property INIT (string "1'b0"))
1895           (property IS_CLR_INVERTED (string "1'b0"))
1896           (property IS_C_INVERTED (string "1'b0"))
1897           (property IS_D_INVERTED (string "1'b0"))
1898           (property ASYNC_REG (boolean (true)))
1899           (property box_type (string "PRIMITIVE"))
1900         )
1901         (instance (rename OffsetsDsGen_52__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[52].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1902           (property INIT (string "1'b0"))
1903           (property IS_CLR_INVERTED (string "1'b0"))
1904           (property IS_C_INVERTED (string "1'b0"))
1905           (property IS_D_INVERTED (string "1'b0"))
1906           (property ASYNC_REG (boolean (true)))
1907           (property box_type (string "PRIMITIVE"))
1908         )
1909         (instance (rename OffsetsDsGen_53__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[53].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1910           (property INIT (string "1'b0"))
1911           (property IS_CLR_INVERTED (string "1'b0"))
1912           (property IS_C_INVERTED (string "1'b0"))
1913           (property IS_D_INVERTED (string "1'b0"))
1914           (property ASYNC_REG (boolean (true)))
1915           (property box_type (string "PRIMITIVE"))
1916         )
1917         (instance (rename OffsetsDsGen_53__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[53].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1918           (property INIT (string "1'b0"))
1919           (property IS_CLR_INVERTED (string "1'b0"))
1920           (property IS_C_INVERTED (string "1'b0"))
1921           (property IS_D_INVERTED (string "1'b0"))
1922           (property ASYNC_REG (boolean (true)))
1923           (property box_type (string "PRIMITIVE"))
1924         )
1925         (instance (rename OffsetsDsGen_54__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[54].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1926           (property INIT (string "1'b0"))
1927           (property IS_CLR_INVERTED (string "1'b0"))
1928           (property IS_C_INVERTED (string "1'b0"))
1929           (property IS_D_INVERTED (string "1'b0"))
1930           (property ASYNC_REG (boolean (true)))
1931           (property box_type (string "PRIMITIVE"))
1932         )
1933         (instance (rename OffsetsDsGen_54__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[54].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1934           (property INIT (string "1'b0"))
1935           (property IS_CLR_INVERTED (string "1'b0"))
1936           (property IS_C_INVERTED (string "1'b0"))
1937           (property IS_D_INVERTED (string "1'b0"))
1938           (property ASYNC_REG (boolean (true)))
1939           (property box_type (string "PRIMITIVE"))
1940         )
1941         (instance (rename OffsetsDsGen_55__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[55].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1942           (property INIT (string "1'b0"))
1943           (property IS_CLR_INVERTED (string "1'b0"))
1944           (property IS_C_INVERTED (string "1'b0"))
1945           (property IS_D_INVERTED (string "1'b0"))
1946           (property ASYNC_REG (boolean (true)))
1947           (property box_type (string "PRIMITIVE"))
1948         )
1949         (instance (rename OffsetsDsGen_55__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[55].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1950           (property INIT (string "1'b0"))
1951           (property IS_CLR_INVERTED (string "1'b0"))
1952           (property IS_C_INVERTED (string "1'b0"))
1953           (property IS_D_INVERTED (string "1'b0"))
1954           (property ASYNC_REG (boolean (true)))
1955           (property box_type (string "PRIMITIVE"))
1956         )
1957         (instance (rename OffsetsDsGen_56__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[56].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1958           (property INIT (string "1'b0"))
1959           (property IS_CLR_INVERTED (string "1'b0"))
1960           (property IS_C_INVERTED (string "1'b0"))
1961           (property IS_D_INVERTED (string "1'b0"))
1962           (property ASYNC_REG (boolean (true)))
1963           (property box_type (string "PRIMITIVE"))
1964         )
1965         (instance (rename OffsetsDsGen_56__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[56].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1966           (property INIT (string "1'b0"))
1967           (property IS_CLR_INVERTED (string "1'b0"))
1968           (property IS_C_INVERTED (string "1'b0"))
1969           (property IS_D_INVERTED (string "1'b0"))
1970           (property ASYNC_REG (boolean (true)))
1971           (property box_type (string "PRIMITIVE"))
1972         )
1973         (instance (rename OffsetsDsGen_57__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[57].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1974           (property INIT (string "1'b0"))
1975           (property IS_CLR_INVERTED (string "1'b0"))
1976           (property IS_C_INVERTED (string "1'b0"))
1977           (property IS_D_INVERTED (string "1'b0"))
1978           (property ASYNC_REG (boolean (true)))
1979           (property box_type (string "PRIMITIVE"))
1980         )
1981         (instance (rename OffsetsDsGen_57__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[57].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1982           (property INIT (string "1'b0"))
1983           (property IS_CLR_INVERTED (string "1'b0"))
1984           (property IS_C_INVERTED (string "1'b0"))
1985           (property IS_D_INVERTED (string "1'b0"))
1986           (property ASYNC_REG (boolean (true)))
1987           (property box_type (string "PRIMITIVE"))
1988         )
1989         (instance (rename OffsetsDsGen_58__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[58].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1990           (property INIT (string "1'b0"))
1991           (property IS_CLR_INVERTED (string "1'b0"))
1992           (property IS_C_INVERTED (string "1'b0"))
1993           (property IS_D_INVERTED (string "1'b0"))
1994           (property ASYNC_REG (boolean (true)))
1995           (property box_type (string "PRIMITIVE"))
1996         )
1997         (instance (rename OffsetsDsGen_58__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[58].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
1998           (property INIT (string "1'b0"))
1999           (property IS_CLR_INVERTED (string "1'b0"))
2000           (property IS_C_INVERTED (string "1'b0"))
2001           (property IS_D_INVERTED (string "1'b0"))
2002           (property ASYNC_REG (boolean (true)))
2003           (property box_type (string "PRIMITIVE"))
2004         )
2005         (instance (rename OffsetsDsGen_59__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[59].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2006           (property INIT (string "1'b0"))
2007           (property IS_CLR_INVERTED (string "1'b0"))
2008           (property IS_C_INVERTED (string "1'b0"))
2009           (property IS_D_INVERTED (string "1'b0"))
2010           (property ASYNC_REG (boolean (true)))
2011           (property box_type (string "PRIMITIVE"))
2012         )
2013         (instance (rename OffsetsDsGen_59__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[59].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2014           (property INIT (string "1'b0"))
2015           (property IS_CLR_INVERTED (string "1'b0"))
2016           (property IS_C_INVERTED (string "1'b0"))
2017           (property IS_D_INVERTED (string "1'b0"))
2018           (property ASYNC_REG (boolean (true)))
2019           (property box_type (string "PRIMITIVE"))
2020         )
2021         (instance (rename OffsetsDsGen_5__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[5].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2022           (property INIT (string "1'b0"))
2023           (property IS_CLR_INVERTED (string "1'b0"))
2024           (property IS_C_INVERTED (string "1'b0"))
2025           (property IS_D_INVERTED (string "1'b0"))
2026           (property ASYNC_REG (boolean (true)))
2027           (property box_type (string "PRIMITIVE"))
2028         )
2029         (instance (rename OffsetsDsGen_5__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[5].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2030           (property INIT (string "1'b0"))
2031           (property IS_CLR_INVERTED (string "1'b0"))
2032           (property IS_C_INVERTED (string "1'b0"))
2033           (property IS_D_INVERTED (string "1'b0"))
2034           (property ASYNC_REG (boolean (true)))
2035           (property box_type (string "PRIMITIVE"))
2036         )
2037         (instance (rename OffsetsDsGen_60__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[60].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2038           (property INIT (string "1'b0"))
2039           (property IS_CLR_INVERTED (string "1'b0"))
2040           (property IS_C_INVERTED (string "1'b0"))
2041           (property IS_D_INVERTED (string "1'b0"))
2042           (property ASYNC_REG (boolean (true)))
2043           (property box_type (string "PRIMITIVE"))
2044         )
2045         (instance (rename OffsetsDsGen_60__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[60].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2046           (property INIT (string "1'b0"))
2047           (property IS_CLR_INVERTED (string "1'b0"))
2048           (property IS_C_INVERTED (string "1'b0"))
2049           (property IS_D_INVERTED (string "1'b0"))
2050           (property ASYNC_REG (boolean (true)))
2051           (property box_type (string "PRIMITIVE"))
2052         )
2053         (instance (rename OffsetsDsGen_61__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[61].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2054           (property INIT (string "1'b0"))
2055           (property IS_CLR_INVERTED (string "1'b0"))
2056           (property IS_C_INVERTED (string "1'b0"))
2057           (property IS_D_INVERTED (string "1'b0"))
2058           (property ASYNC_REG (boolean (true)))
2059           (property box_type (string "PRIMITIVE"))
2060         )
2061         (instance (rename OffsetsDsGen_61__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[61].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2062           (property INIT (string "1'b0"))
2063           (property IS_CLR_INVERTED (string "1'b0"))
2064           (property IS_C_INVERTED (string "1'b0"))
2065           (property IS_D_INVERTED (string "1'b0"))
2066           (property ASYNC_REG (boolean (true)))
2067           (property box_type (string "PRIMITIVE"))
2068         )
2069         (instance (rename OffsetsDsGen_62__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[62].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2070           (property INIT (string "1'b0"))
2071           (property IS_CLR_INVERTED (string "1'b0"))
2072           (property IS_C_INVERTED (string "1'b0"))
2073           (property IS_D_INVERTED (string "1'b0"))
2074           (property ASYNC_REG (boolean (true)))
2075           (property box_type (string "PRIMITIVE"))
2076         )
2077         (instance (rename OffsetsDsGen_62__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[62].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2078           (property INIT (string "1'b0"))
2079           (property IS_CLR_INVERTED (string "1'b0"))
2080           (property IS_C_INVERTED (string "1'b0"))
2081           (property IS_D_INVERTED (string "1'b0"))
2082           (property ASYNC_REG (boolean (true)))
2083           (property box_type (string "PRIMITIVE"))
2084         )
2085         (instance (rename OffsetsDsGen_63__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[63].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2086           (property INIT (string "1'b0"))
2087           (property IS_CLR_INVERTED (string "1'b0"))
2088           (property IS_C_INVERTED (string "1'b0"))
2089           (property IS_D_INVERTED (string "1'b0"))
2090           (property ASYNC_REG (boolean (true)))
2091           (property box_type (string "PRIMITIVE"))
2092         )
2093         (instance (rename OffsetsDsGen_63__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[63].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2094           (property INIT (string "1'b0"))
2095           (property IS_CLR_INVERTED (string "1'b0"))
2096           (property IS_C_INVERTED (string "1'b0"))
2097           (property IS_D_INVERTED (string "1'b0"))
2098           (property ASYNC_REG (boolean (true)))
2099           (property box_type (string "PRIMITIVE"))
2100         )
2101         (instance (rename OffsetsDsGen_64__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[64].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2102           (property INIT (string "1'b0"))
2103           (property IS_CLR_INVERTED (string "1'b0"))
2104           (property IS_C_INVERTED (string "1'b0"))
2105           (property IS_D_INVERTED (string "1'b0"))
2106           (property ASYNC_REG (boolean (true)))
2107           (property box_type (string "PRIMITIVE"))
2108         )
2109         (instance (rename OffsetsDsGen_64__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[64].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2110           (property INIT (string "1'b0"))
2111           (property IS_CLR_INVERTED (string "1'b0"))
2112           (property IS_C_INVERTED (string "1'b0"))
2113           (property IS_D_INVERTED (string "1'b0"))
2114           (property ASYNC_REG (boolean (true)))
2115           (property box_type (string "PRIMITIVE"))
2116         )
2117         (instance (rename OffsetsDsGen_65__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[65].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2118           (property INIT (string "1'b0"))
2119           (property IS_CLR_INVERTED (string "1'b0"))
2120           (property IS_C_INVERTED (string "1'b0"))
2121           (property IS_D_INVERTED (string "1'b0"))
2122           (property ASYNC_REG (boolean (true)))
2123           (property box_type (string "PRIMITIVE"))
2124         )
2125         (instance (rename OffsetsDsGen_65__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[65].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2126           (property INIT (string "1'b0"))
2127           (property IS_CLR_INVERTED (string "1'b0"))
2128           (property IS_C_INVERTED (string "1'b0"))
2129           (property IS_D_INVERTED (string "1'b0"))
2130           (property ASYNC_REG (boolean (true)))
2131           (property box_type (string "PRIMITIVE"))
2132         )
2133         (instance (rename OffsetsDsGen_66__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[66].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2134           (property INIT (string "1'b0"))
2135           (property IS_CLR_INVERTED (string "1'b0"))
2136           (property IS_C_INVERTED (string "1'b0"))
2137           (property IS_D_INVERTED (string "1'b0"))
2138           (property ASYNC_REG (boolean (true)))
2139           (property box_type (string "PRIMITIVE"))
2140         )
2141         (instance (rename OffsetsDsGen_66__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[66].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2142           (property INIT (string "1'b0"))
2143           (property IS_CLR_INVERTED (string "1'b0"))
2144           (property IS_C_INVERTED (string "1'b0"))
2145           (property IS_D_INVERTED (string "1'b0"))
2146           (property ASYNC_REG (boolean (true)))
2147           (property box_type (string "PRIMITIVE"))
2148         )
2149         (instance (rename OffsetsDsGen_67__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[67].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2150           (property INIT (string "1'b0"))
2151           (property IS_CLR_INVERTED (string "1'b0"))
2152           (property IS_C_INVERTED (string "1'b0"))
2153           (property IS_D_INVERTED (string "1'b0"))
2154           (property ASYNC_REG (boolean (true)))
2155           (property box_type (string "PRIMITIVE"))
2156         )
2157         (instance (rename OffsetsDsGen_67__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[67].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2158           (property INIT (string "1'b0"))
2159           (property IS_CLR_INVERTED (string "1'b0"))
2160           (property IS_C_INVERTED (string "1'b0"))
2161           (property IS_D_INVERTED (string "1'b0"))
2162           (property ASYNC_REG (boolean (true)))
2163           (property box_type (string "PRIMITIVE"))
2164         )
2165         (instance (rename OffsetsDsGen_68__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[68].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2166           (property INIT (string "1'b0"))
2167           (property IS_CLR_INVERTED (string "1'b0"))
2168           (property IS_C_INVERTED (string "1'b0"))
2169           (property IS_D_INVERTED (string "1'b0"))
2170           (property ASYNC_REG (boolean (true)))
2171           (property box_type (string "PRIMITIVE"))
2172         )
2173         (instance (rename OffsetsDsGen_68__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[68].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2174           (property INIT (string "1'b0"))
2175           (property IS_CLR_INVERTED (string "1'b0"))
2176           (property IS_C_INVERTED (string "1'b0"))
2177           (property IS_D_INVERTED (string "1'b0"))
2178           (property ASYNC_REG (boolean (true)))
2179           (property box_type (string "PRIMITIVE"))
2180         )
2181         (instance (rename OffsetsDsGen_69__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[69].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2182           (property INIT (string "1'b0"))
2183           (property IS_CLR_INVERTED (string "1'b0"))
2184           (property IS_C_INVERTED (string "1'b0"))
2185           (property IS_D_INVERTED (string "1'b0"))
2186           (property ASYNC_REG (boolean (true)))
2187           (property box_type (string "PRIMITIVE"))
2188         )
2189         (instance (rename OffsetsDsGen_69__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[69].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2190           (property INIT (string "1'b0"))
2191           (property IS_CLR_INVERTED (string "1'b0"))
2192           (property IS_C_INVERTED (string "1'b0"))
2193           (property IS_D_INVERTED (string "1'b0"))
2194           (property ASYNC_REG (boolean (true)))
2195           (property box_type (string "PRIMITIVE"))
2196         )
2197         (instance (rename OffsetsDsGen_6__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[6].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2198           (property INIT (string "1'b0"))
2199           (property IS_CLR_INVERTED (string "1'b0"))
2200           (property IS_C_INVERTED (string "1'b0"))
2201           (property IS_D_INVERTED (string "1'b0"))
2202           (property ASYNC_REG (boolean (true)))
2203           (property box_type (string "PRIMITIVE"))
2204         )
2205         (instance (rename OffsetsDsGen_6__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[6].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2206           (property INIT (string "1'b0"))
2207           (property IS_CLR_INVERTED (string "1'b0"))
2208           (property IS_C_INVERTED (string "1'b0"))
2209           (property IS_D_INVERTED (string "1'b0"))
2210           (property ASYNC_REG (boolean (true)))
2211           (property box_type (string "PRIMITIVE"))
2212         )
2213         (instance (rename OffsetsDsGen_70__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[70].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2214           (property INIT (string "1'b0"))
2215           (property IS_CLR_INVERTED (string "1'b0"))
2216           (property IS_C_INVERTED (string "1'b0"))
2217           (property IS_D_INVERTED (string "1'b0"))
2218           (property ASYNC_REG (boolean (true)))
2219           (property box_type (string "PRIMITIVE"))
2220         )
2221         (instance (rename OffsetsDsGen_70__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[70].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2222           (property INIT (string "1'b0"))
2223           (property IS_CLR_INVERTED (string "1'b0"))
2224           (property IS_C_INVERTED (string "1'b0"))
2225           (property IS_D_INVERTED (string "1'b0"))
2226           (property ASYNC_REG (boolean (true)))
2227           (property box_type (string "PRIMITIVE"))
2228         )
2229         (instance (rename OffsetsDsGen_71__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[71].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2230           (property INIT (string "1'b0"))
2231           (property IS_CLR_INVERTED (string "1'b0"))
2232           (property IS_C_INVERTED (string "1'b0"))
2233           (property IS_D_INVERTED (string "1'b0"))
2234           (property ASYNC_REG (boolean (true)))
2235           (property box_type (string "PRIMITIVE"))
2236         )
2237         (instance (rename OffsetsDsGen_71__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[71].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2238           (property INIT (string "1'b0"))
2239           (property IS_CLR_INVERTED (string "1'b0"))
2240           (property IS_C_INVERTED (string "1'b0"))
2241           (property IS_D_INVERTED (string "1'b0"))
2242           (property ASYNC_REG (boolean (true)))
2243           (property box_type (string "PRIMITIVE"))
2244         )
2245         (instance (rename OffsetsDsGen_72__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[72].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2246           (property INIT (string "1'b0"))
2247           (property IS_CLR_INVERTED (string "1'b0"))
2248           (property IS_C_INVERTED (string "1'b0"))
2249           (property IS_D_INVERTED (string "1'b0"))
2250           (property ASYNC_REG (boolean (true)))
2251           (property box_type (string "PRIMITIVE"))
2252         )
2253         (instance (rename OffsetsDsGen_72__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[72].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2254           (property INIT (string "1'b0"))
2255           (property IS_CLR_INVERTED (string "1'b0"))
2256           (property IS_C_INVERTED (string "1'b0"))
2257           (property IS_D_INVERTED (string "1'b0"))
2258           (property ASYNC_REG (boolean (true)))
2259           (property box_type (string "PRIMITIVE"))
2260         )
2261         (instance (rename OffsetsDsGen_73__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[73].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2262           (property INIT (string "1'b0"))
2263           (property IS_CLR_INVERTED (string "1'b0"))
2264           (property IS_C_INVERTED (string "1'b0"))
2265           (property IS_D_INVERTED (string "1'b0"))
2266           (property ASYNC_REG (boolean (true)))
2267           (property box_type (string "PRIMITIVE"))
2268         )
2269         (instance (rename OffsetsDsGen_73__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[73].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2270           (property INIT (string "1'b0"))
2271           (property IS_CLR_INVERTED (string "1'b0"))
2272           (property IS_C_INVERTED (string "1'b0"))
2273           (property IS_D_INVERTED (string "1'b0"))
2274           (property ASYNC_REG (boolean (true)))
2275           (property box_type (string "PRIMITIVE"))
2276         )
2277         (instance (rename OffsetsDsGen_74__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[74].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2278           (property INIT (string "1'b0"))
2279           (property IS_CLR_INVERTED (string "1'b0"))
2280           (property IS_C_INVERTED (string "1'b0"))
2281           (property IS_D_INVERTED (string "1'b0"))
2282           (property ASYNC_REG (boolean (true)))
2283           (property box_type (string "PRIMITIVE"))
2284         )
2285         (instance (rename OffsetsDsGen_74__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[74].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2286           (property INIT (string "1'b0"))
2287           (property IS_CLR_INVERTED (string "1'b0"))
2288           (property IS_C_INVERTED (string "1'b0"))
2289           (property IS_D_INVERTED (string "1'b0"))
2290           (property ASYNC_REG (boolean (true)))
2291           (property box_type (string "PRIMITIVE"))
2292         )
2293         (instance (rename OffsetsDsGen_75__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[75].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2294           (property INIT (string "1'b0"))
2295           (property IS_CLR_INVERTED (string "1'b0"))
2296           (property IS_C_INVERTED (string "1'b0"))
2297           (property IS_D_INVERTED (string "1'b0"))
2298           (property ASYNC_REG (boolean (true)))
2299           (property box_type (string "PRIMITIVE"))
2300         )
2301         (instance (rename OffsetsDsGen_75__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[75].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2302           (property INIT (string "1'b0"))
2303           (property IS_CLR_INVERTED (string "1'b0"))
2304           (property IS_C_INVERTED (string "1'b0"))
2305           (property IS_D_INVERTED (string "1'b0"))
2306           (property ASYNC_REG (boolean (true)))
2307           (property box_type (string "PRIMITIVE"))
2308         )
2309         (instance (rename OffsetsDsGen_76__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[76].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2310           (property INIT (string "1'b0"))
2311           (property IS_CLR_INVERTED (string "1'b0"))
2312           (property IS_C_INVERTED (string "1'b0"))
2313           (property IS_D_INVERTED (string "1'b0"))
2314           (property ASYNC_REG (boolean (true)))
2315           (property box_type (string "PRIMITIVE"))
2316         )
2317         (instance (rename OffsetsDsGen_76__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[76].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2318           (property INIT (string "1'b0"))
2319           (property IS_CLR_INVERTED (string "1'b0"))
2320           (property IS_C_INVERTED (string "1'b0"))
2321           (property IS_D_INVERTED (string "1'b0"))
2322           (property ASYNC_REG (boolean (true)))
2323           (property box_type (string "PRIMITIVE"))
2324         )
2325         (instance (rename OffsetsDsGen_77__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[77].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2326           (property INIT (string "1'b0"))
2327           (property IS_CLR_INVERTED (string "1'b0"))
2328           (property IS_C_INVERTED (string "1'b0"))
2329           (property IS_D_INVERTED (string "1'b0"))
2330           (property ASYNC_REG (boolean (true)))
2331           (property box_type (string "PRIMITIVE"))
2332         )
2333         (instance (rename OffsetsDsGen_77__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[77].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2334           (property INIT (string "1'b0"))
2335           (property IS_CLR_INVERTED (string "1'b0"))
2336           (property IS_C_INVERTED (string "1'b0"))
2337           (property IS_D_INVERTED (string "1'b0"))
2338           (property ASYNC_REG (boolean (true)))
2339           (property box_type (string "PRIMITIVE"))
2340         )
2341         (instance (rename OffsetsDsGen_78__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[78].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2342           (property INIT (string "1'b0"))
2343           (property IS_CLR_INVERTED (string "1'b0"))
2344           (property IS_C_INVERTED (string "1'b0"))
2345           (property IS_D_INVERTED (string "1'b0"))
2346           (property ASYNC_REG (boolean (true)))
2347           (property box_type (string "PRIMITIVE"))
2348         )
2349         (instance (rename OffsetsDsGen_78__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[78].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2350           (property INIT (string "1'b0"))
2351           (property IS_CLR_INVERTED (string "1'b0"))
2352           (property IS_C_INVERTED (string "1'b0"))
2353           (property IS_D_INVERTED (string "1'b0"))
2354           (property ASYNC_REG (boolean (true)))
2355           (property box_type (string "PRIMITIVE"))
2356         )
2357         (instance (rename OffsetsDsGen_79__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[79].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2358           (property INIT (string "1'b0"))
2359           (property IS_CLR_INVERTED (string "1'b0"))
2360           (property IS_C_INVERTED (string "1'b0"))
2361           (property IS_D_INVERTED (string "1'b0"))
2362           (property ASYNC_REG (boolean (true)))
2363           (property box_type (string "PRIMITIVE"))
2364         )
2365         (instance (rename OffsetsDsGen_79__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[79].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2366           (property INIT (string "1'b0"))
2367           (property IS_CLR_INVERTED (string "1'b0"))
2368           (property IS_C_INVERTED (string "1'b0"))
2369           (property IS_D_INVERTED (string "1'b0"))
2370           (property ASYNC_REG (boolean (true)))
2371           (property box_type (string "PRIMITIVE"))
2372         )
2373         (instance (rename OffsetsDsGen_7__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[7].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2374           (property INIT (string "1'b0"))
2375           (property IS_CLR_INVERTED (string "1'b0"))
2376           (property IS_C_INVERTED (string "1'b0"))
2377           (property IS_D_INVERTED (string "1'b0"))
2378           (property ASYNC_REG (boolean (true)))
2379           (property box_type (string "PRIMITIVE"))
2380         )
2381         (instance (rename OffsetsDsGen_7__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[7].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2382           (property INIT (string "1'b0"))
2383           (property IS_CLR_INVERTED (string "1'b0"))
2384           (property IS_C_INVERTED (string "1'b0"))
2385           (property IS_D_INVERTED (string "1'b0"))
2386           (property ASYNC_REG (boolean (true)))
2387           (property box_type (string "PRIMITIVE"))
2388         )
2389         (instance (rename OffsetsDsGen_8__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[8].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2390           (property INIT (string "1'b0"))
2391           (property IS_CLR_INVERTED (string "1'b0"))
2392           (property IS_C_INVERTED (string "1'b0"))
2393           (property IS_D_INVERTED (string "1'b0"))
2394           (property ASYNC_REG (boolean (true)))
2395           (property box_type (string "PRIMITIVE"))
2396         )
2397         (instance (rename OffsetsDsGen_8__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[8].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2398           (property INIT (string "1'b0"))
2399           (property IS_CLR_INVERTED (string "1'b0"))
2400           (property IS_C_INVERTED (string "1'b0"))
2401           (property IS_D_INVERTED (string "1'b0"))
2402           (property ASYNC_REG (boolean (true)))
2403           (property box_type (string "PRIMITIVE"))
2404         )
2405         (instance (rename OffsetsDsGen_9__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsDsGen[9].OffsetsDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2406           (property INIT (string "1'b0"))
2407           (property IS_CLR_INVERTED (string "1'b0"))
2408           (property IS_C_INVERTED (string "1'b0"))
2409           (property IS_D_INVERTED (string "1'b0"))
2410           (property ASYNC_REG (boolean (true)))
2411           (property box_type (string "PRIMITIVE"))
2412         )
2413         (instance (rename OffsetsDsGen_9__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsDsGen[9].OffsetsDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2414           (property INIT (string "1'b0"))
2415           (property IS_CLR_INVERTED (string "1'b0"))
2416           (property IS_C_INVERTED (string "1'b0"))
2417           (property IS_D_INVERTED (string "1'b0"))
2418           (property ASYNC_REG (boolean (true)))
2419           (property box_type (string "PRIMITIVE"))
2420         )
2421         (instance (rename OffsetsValidDs_DoubleSyncSlAsyncInx_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "OffsetsValidDs/DoubleSyncSlAsyncInx/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2422           (property INIT (string "1'b0"))
2423           (property IS_CLR_INVERTED (string "1'b0"))
2424           (property IS_C_INVERTED (string "1'b0"))
2425           (property IS_D_INVERTED (string "1'b0"))
2426           (property ASYNC_REG (boolean (true)))
2427           (property box_type (string "PRIMITIVE"))
2428         )
2429         (instance (rename OffsetsValidDs_DoubleSyncSlAsyncInx_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "OffsetsValidDs/DoubleSyncSlAsyncInx/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2430           (property INIT (string "1'b0"))
2431           (property IS_CLR_INVERTED (string "1'b0"))
2432           (property IS_C_INVERTED (string "1'b0"))
2433           (property IS_D_INVERTED (string "1'b0"))
2434           (property ASYNC_REG (boolean (true)))
2435           (property box_type (string "PRIMITIVE"))
2436         )
2437         (instance (rename PpsCapturedDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "PpsCapturedDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2438           (property INIT (string "1'b0"))
2439           (property IS_CLR_INVERTED (string "1'b0"))
2440           (property IS_C_INVERTED (string "1'b0"))
2441           (property IS_D_INVERTED (string "1'b0"))
2442           (property ASYNC_REG (boolean (true)))
2443           (property box_type (string "PRIMITIVE"))
2444         )
2445         (instance (rename PpsCapturedDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "PpsCapturedDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2446           (property INIT (string "1'b0"))
2447           (property IS_CLR_INVERTED (string "1'b0"))
2448           (property IS_C_INVERTED (string "1'b0"))
2449           (property IS_D_INVERTED (string "1'b0"))
2450           (property ASYNC_REG (boolean (true)))
2451           (property box_type (string "PRIMITIVE"))
2452         )
2453         (instance (rename PpsCapturedDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx "PpsCapturedDs/DoubleSyncBasex/iDlySigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2454           (property INIT (string "1'b0"))
2455           (property IS_CLR_INVERTED (string "1'b0"))
2456           (property IS_C_INVERTED (string "1'b0"))
2457           (property IS_D_INVERTED (string "1'b0"))
2458           (property box_type (string "PRIMITIVE"))
2459         )
2460         (instance (rename PpsCrossEnDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "PpsCrossEnDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2461           (property INIT (string "1'b0"))
2462           (property IS_CLR_INVERTED (string "1'b0"))
2463           (property IS_C_INVERTED (string "1'b0"))
2464           (property IS_D_INVERTED (string "1'b0"))
2465           (property ASYNC_REG (boolean (true)))
2466           (property box_type (string "PRIMITIVE"))
2467         )
2468         (instance (rename PpsCrossEnDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "PpsCrossEnDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2469           (property INIT (string "1'b0"))
2470           (property IS_CLR_INVERTED (string "1'b0"))
2471           (property IS_C_INVERTED (string "1'b0"))
2472           (property IS_D_INVERTED (string "1'b0"))
2473           (property ASYNC_REG (boolean (true)))
2474           (property box_type (string "PRIMITIVE"))
2475         )
2476         (instance (rename PpsCrossEnDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx "PpsCrossEnDs/DoubleSyncBasex/iDlySigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2477           (property INIT (string "1'b0"))
2478           (property IS_CLR_INVERTED (string "1'b0"))
2479           (property IS_C_INVERTED (string "1'b0"))
2480           (property IS_D_INVERTED (string "1'b0"))
2481           (property box_type (string "PRIMITIVE"))
2482         )
2483         (instance (rename PpsDelayValCrossingHs_HBx_BlkIn_iDlyPush_reg "PpsDelayValCrossingHs/HBx/BlkIn.iDlyPush_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2484           (property INIT (string "1'b0"))
2485         )
2486         (instance (rename PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_0_ "PpsDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg[0]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2487           (property INIT (string "1'b0"))
2488         )
2489         (instance (rename PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_1_ "PpsDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2490           (property INIT (string "1'b0"))
2491         )
2492         (instance (rename PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_2_ "PpsDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2493           (property INIT (string "1'b0"))
2494         )
2495         (instance (rename PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_3_ "PpsDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2496           (property INIT (string "1'b0"))
2497         )
2498         (instance (rename PpsDelayValCrossingHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx "PpsDelayValCrossingHs/HBx/BlkIn.iPushTogglex/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2499           (property INIT (string "1'b0"))
2500           (property IS_CLR_INVERTED (string "1'b0"))
2501           (property IS_C_INVERTED (string "1'b0"))
2502           (property IS_D_INVERTED (string "1'b0"))
2503           (property box_type (string "PRIMITIVE"))
2504         )
2505         (instance (rename PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx "PpsDelayValCrossingHs/HBx/BlkOut.ODataFlop/GenFlops[0].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2506           (property INIT (string "1'b0"))
2507           (property IS_CLR_INVERTED (string "1'b0"))
2508           (property IS_C_INVERTED (string "1'b0"))
2509           (property IS_D_INVERTED (string "1'b0"))
2510           (property box_type (string "PRIMITIVE"))
2511         )
2512         (instance (rename PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx "PpsDelayValCrossingHs/HBx/BlkOut.ODataFlop/GenFlops[1].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2513           (property INIT (string "1'b0"))
2514           (property IS_CLR_INVERTED (string "1'b0"))
2515           (property IS_C_INVERTED (string "1'b0"))
2516           (property IS_D_INVERTED (string "1'b0"))
2517           (property box_type (string "PRIMITIVE"))
2518         )
2519         (instance (rename PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx "PpsDelayValCrossingHs/HBx/BlkOut.ODataFlop/GenFlops[2].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2520           (property INIT (string "1'b0"))
2521           (property IS_CLR_INVERTED (string "1'b0"))
2522           (property IS_C_INVERTED (string "1'b0"))
2523           (property IS_D_INVERTED (string "1'b0"))
2524           (property box_type (string "PRIMITIVE"))
2525         )
2526         (instance (rename PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx "PpsDelayValCrossingHs/HBx/BlkOut.ODataFlop/GenFlops[3].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2527           (property INIT (string "1'b0"))
2528           (property IS_CLR_INVERTED (string "1'b0"))
2529           (property IS_C_INVERTED (string "1'b0"))
2530           (property IS_D_INVERTED (string "1'b0"))
2531           (property box_type (string "PRIMITIVE"))
2532         )
2533         (instance (rename PpsDelayValCrossingHs_HBx_BlkOut_oDataValid_reg "PpsDelayValCrossingHs/HBx/BlkOut.oDataValid_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2534           (property INIT (string "1'b0"))
2535         )
2536         (instance (rename PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx "PpsDelayValCrossingHs/HBx/BlkOut.oPushToggle1x/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2537           (property INIT (string "1'b0"))
2538           (property IS_CLR_INVERTED (string "1'b0"))
2539           (property IS_C_INVERTED (string "1'b0"))
2540           (property IS_D_INVERTED (string "1'b0"))
2541           (property ASYNC_REG (boolean (true)))
2542           (property box_type (string "PRIMITIVE"))
2543         )
2544         (instance (rename PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle2_reg "PpsDelayValCrossingHs/HBx/BlkOut.oPushToggle2_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2545           (property INIT (string "1'b0"))
2546         )
2547         (instance (rename PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx "PpsDelayValCrossingHs/HBx/BlkOut.oPushToggle_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2548           (property INIT (string "1'b0"))
2549           (property IS_CLR_INVERTED (string "1'b0"))
2550           (property IS_C_INVERTED (string "1'b0"))
2551           (property IS_D_INVERTED (string "1'b0"))
2552           (property ASYNC_REG (boolean (true)))
2553           (property box_type (string "PRIMITIVE"))
2554         )
2555         (instance (rename PulserEnableDelayValCrossingHs_HBx_BlkIn_iDlyPush_reg "PulserEnableDelayValCrossingHs/HBx/BlkIn.iDlyPush_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2556           (property INIT (string "1'b0"))
2557         )
2558         (instance (rename PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_0_ "PulserEnableDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg[0]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2559           (property INIT (string "1'b0"))
2560         )
2561         (instance (rename PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_1_ "PulserEnableDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2562           (property INIT (string "1'b0"))
2563         )
2564         (instance (rename PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_2_ "PulserEnableDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2565           (property INIT (string "1'b0"))
2566         )
2567         (instance (rename PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_3_ "PulserEnableDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2568           (property INIT (string "1'b0"))
2569         )
2570         (instance (rename PulserEnableDelayValCrossingHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx "PulserEnableDelayValCrossingHs/HBx/BlkIn.iPushTogglex/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2571           (property INIT (string "1'b0"))
2572           (property IS_CLR_INVERTED (string "1'b0"))
2573           (property IS_C_INVERTED (string "1'b0"))
2574           (property IS_D_INVERTED (string "1'b0"))
2575           (property box_type (string "PRIMITIVE"))
2576         )
2577         (instance (rename PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx "PulserEnableDelayValCrossingHs/HBx/BlkOut.ODataFlop/GenFlops[0].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2578           (property INIT (string "1'b0"))
2579           (property IS_CLR_INVERTED (string "1'b0"))
2580           (property IS_C_INVERTED (string "1'b0"))
2581           (property IS_D_INVERTED (string "1'b0"))
2582           (property box_type (string "PRIMITIVE"))
2583         )
2584         (instance (rename PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx "PulserEnableDelayValCrossingHs/HBx/BlkOut.ODataFlop/GenFlops[1].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2585           (property INIT (string "1'b0"))
2586           (property IS_CLR_INVERTED (string "1'b0"))
2587           (property IS_C_INVERTED (string "1'b0"))
2588           (property IS_D_INVERTED (string "1'b0"))
2589           (property box_type (string "PRIMITIVE"))
2590         )
2591         (instance (rename PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx "PulserEnableDelayValCrossingHs/HBx/BlkOut.ODataFlop/GenFlops[2].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2592           (property INIT (string "1'b0"))
2593           (property IS_CLR_INVERTED (string "1'b0"))
2594           (property IS_C_INVERTED (string "1'b0"))
2595           (property IS_D_INVERTED (string "1'b0"))
2596           (property box_type (string "PRIMITIVE"))
2597         )
2598         (instance (rename PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx "PulserEnableDelayValCrossingHs/HBx/BlkOut.ODataFlop/GenFlops[3].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2599           (property INIT (string "1'b0"))
2600           (property IS_CLR_INVERTED (string "1'b0"))
2601           (property IS_C_INVERTED (string "1'b0"))
2602           (property IS_D_INVERTED (string "1'b0"))
2603           (property box_type (string "PRIMITIVE"))
2604         )
2605         (instance (rename PulserEnableDelayValCrossingHs_HBx_BlkOut_oDataValid_reg "PulserEnableDelayValCrossingHs/HBx/BlkOut.oDataValid_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2606           (property INIT (string "1'b0"))
2607         )
2608         (instance (rename PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx "PulserEnableDelayValCrossingHs/HBx/BlkOut.oPushToggle1x/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2609           (property INIT (string "1'b0"))
2610           (property IS_CLR_INVERTED (string "1'b0"))
2611           (property IS_C_INVERTED (string "1'b0"))
2612           (property IS_D_INVERTED (string "1'b0"))
2613           (property ASYNC_REG (boolean (true)))
2614           (property box_type (string "PRIMITIVE"))
2615         )
2616         (instance (rename PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle2_reg "PulserEnableDelayValCrossingHs/HBx/BlkOut.oPushToggle2_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2617           (property INIT (string "1'b0"))
2618         )
2619         (instance (rename PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx "PulserEnableDelayValCrossingHs/HBx/BlkOut.oPushToggle_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2620           (property INIT (string "1'b0"))
2621           (property IS_CLR_INVERTED (string "1'b0"))
2622           (property IS_C_INVERTED (string "1'b0"))
2623           (property IS_D_INVERTED (string "1'b0"))
2624           (property ASYNC_REG (boolean (true)))
2625           (property box_type (string "PRIMITIVE"))
2626         )
2627         (instance (rename RePulse1CntHs_BlkIn_iDlyPush_reg "RePulse1CntHs/BlkIn.iDlyPush_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2628           (property INIT (string "1'b0"))
2629         )
2630         (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_0_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[0]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2631           (property INIT (string "1'b0"))
2632         )
2633         (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_10_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[10]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2634           (property INIT (string "1'b0"))
2635         )
2636         (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_11_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[11]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2637           (property INIT (string "1'b0"))
2638         )
2639         (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_12_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[12]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2640           (property INIT (string "1'b0"))
2641         )
2642         (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_13_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[13]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2643           (property INIT (string "1'b0"))
2644         )
2645         (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_14_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[14]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2646           (property INIT (string "1'b0"))
2647         )
2648         (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_15_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[15]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2649           (property INIT (string "1'b0"))
2650         )
2651         (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_16_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[16]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2652           (property INIT (string "1'b0"))
2653         )
2654         (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_17_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[17]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2655           (property INIT (string "1'b0"))
2656         )
2657         (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_18_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[18]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2658           (property INIT (string "1'b0"))
2659         )
2660         (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_19_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[19]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2661           (property INIT (string "1'b0"))
2662         )
2663         (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_1_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2664           (property INIT (string "1'b0"))
2665         )
2666         (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_20_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[20]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2667           (property INIT (string "1'b0"))
2668         )
2669         (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_21_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[21]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2670           (property INIT (string "1'b0"))
2671         )
2672         (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_22_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[22]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2673           (property INIT (string "1'b0"))
2674         )
2675         (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_23_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[23]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2676           (property INIT (string "1'b0"))
2677         )
2678         (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_24_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[24]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2679           (property INIT (string "1'b0"))
2680         )
2681         (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_25_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[25]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2682           (property INIT (string "1'b0"))
2683         )
2684         (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_26_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[26]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2685           (property INIT (string "1'b0"))
2686         )
2687         (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_27_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[27]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2688           (property INIT (string "1'b0"))
2689         )
2690         (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_28_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[28]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2691           (property INIT (string "1'b0"))
2692         )
2693         (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_29_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[29]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2694           (property INIT (string "1'b0"))
2695         )
2696         (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_2_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2697           (property INIT (string "1'b0"))
2698         )
2699         (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_30_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[30]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2700           (property INIT (string "1'b0"))
2701         )
2702         (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_31_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[31]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2703           (property INIT (string "1'b0"))
2704         )
2705         (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_3_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2706           (property INIT (string "1'b0"))
2707         )
2708         (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_4_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[4]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2709           (property INIT (string "1'b0"))
2710         )
2711         (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_5_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[5]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2712           (property INIT (string "1'b0"))
2713         )
2714         (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_6_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[6]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2715           (property INIT (string "1'b0"))
2716         )
2717         (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_7_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[7]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2718           (property INIT (string "1'b0"))
2719         )
2720         (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_8_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[8]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2721           (property INIT (string "1'b0"))
2722         )
2723         (instance (rename RePulse1CntHs_BlkIn_iLclStoredData_reg_9_ "RePulse1CntHs/BlkIn.iLclStoredData_reg[9]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2724           (property INIT (string "1'b0"))
2725         )
2726         (instance (rename RePulse1CntHs_BlkIn_iPushTogglex_Gen0_FDCEx "RePulse1CntHs/BlkIn.iPushTogglex/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2727           (property INIT (string "1'b0"))
2728           (property IS_CLR_INVERTED (string "1'b0"))
2729           (property IS_C_INVERTED (string "1'b0"))
2730           (property IS_D_INVERTED (string "1'b0"))
2731           (property box_type (string "PRIMITIVE"))
2732         )
2733         (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[0].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2734           (property INIT (string "1'b0"))
2735           (property IS_CLR_INVERTED (string "1'b0"))
2736           (property IS_C_INVERTED (string "1'b0"))
2737           (property IS_D_INVERTED (string "1'b0"))
2738           (property box_type (string "PRIMITIVE"))
2739         )
2740         (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[10].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2741           (property INIT (string "1'b0"))
2742           (property IS_CLR_INVERTED (string "1'b0"))
2743           (property IS_C_INVERTED (string "1'b0"))
2744           (property IS_D_INVERTED (string "1'b0"))
2745           (property box_type (string "PRIMITIVE"))
2746         )
2747         (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[11].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2748           (property INIT (string "1'b0"))
2749           (property IS_CLR_INVERTED (string "1'b0"))
2750           (property IS_C_INVERTED (string "1'b0"))
2751           (property IS_D_INVERTED (string "1'b0"))
2752           (property box_type (string "PRIMITIVE"))
2753         )
2754         (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[12].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2755           (property INIT (string "1'b0"))
2756           (property IS_CLR_INVERTED (string "1'b0"))
2757           (property IS_C_INVERTED (string "1'b0"))
2758           (property IS_D_INVERTED (string "1'b0"))
2759           (property box_type (string "PRIMITIVE"))
2760         )
2761         (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[13].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2762           (property INIT (string "1'b0"))
2763           (property IS_CLR_INVERTED (string "1'b0"))
2764           (property IS_C_INVERTED (string "1'b0"))
2765           (property IS_D_INVERTED (string "1'b0"))
2766           (property box_type (string "PRIMITIVE"))
2767         )
2768         (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[14].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2769           (property INIT (string "1'b0"))
2770           (property IS_CLR_INVERTED (string "1'b0"))
2771           (property IS_C_INVERTED (string "1'b0"))
2772           (property IS_D_INVERTED (string "1'b0"))
2773           (property box_type (string "PRIMITIVE"))
2774         )
2775         (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[15].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2776           (property INIT (string "1'b0"))
2777           (property IS_CLR_INVERTED (string "1'b0"))
2778           (property IS_C_INVERTED (string "1'b0"))
2779           (property IS_D_INVERTED (string "1'b0"))
2780           (property box_type (string "PRIMITIVE"))
2781         )
2782         (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[16].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2783           (property INIT (string "1'b0"))
2784           (property IS_CLR_INVERTED (string "1'b0"))
2785           (property IS_C_INVERTED (string "1'b0"))
2786           (property IS_D_INVERTED (string "1'b0"))
2787           (property box_type (string "PRIMITIVE"))
2788         )
2789         (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[17].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2790           (property INIT (string "1'b0"))
2791           (property IS_CLR_INVERTED (string "1'b0"))
2792           (property IS_C_INVERTED (string "1'b0"))
2793           (property IS_D_INVERTED (string "1'b0"))
2794           (property box_type (string "PRIMITIVE"))
2795         )
2796         (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[18].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2797           (property INIT (string "1'b0"))
2798           (property IS_CLR_INVERTED (string "1'b0"))
2799           (property IS_C_INVERTED (string "1'b0"))
2800           (property IS_D_INVERTED (string "1'b0"))
2801           (property box_type (string "PRIMITIVE"))
2802         )
2803         (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[19].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2804           (property INIT (string "1'b0"))
2805           (property IS_CLR_INVERTED (string "1'b0"))
2806           (property IS_C_INVERTED (string "1'b0"))
2807           (property IS_D_INVERTED (string "1'b0"))
2808           (property box_type (string "PRIMITIVE"))
2809         )
2810         (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[1].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2811           (property INIT (string "1'b0"))
2812           (property IS_CLR_INVERTED (string "1'b0"))
2813           (property IS_C_INVERTED (string "1'b0"))
2814           (property IS_D_INVERTED (string "1'b0"))
2815           (property box_type (string "PRIMITIVE"))
2816         )
2817         (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[20].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2818           (property INIT (string "1'b0"))
2819           (property IS_CLR_INVERTED (string "1'b0"))
2820           (property IS_C_INVERTED (string "1'b0"))
2821           (property IS_D_INVERTED (string "1'b0"))
2822           (property box_type (string "PRIMITIVE"))
2823         )
2824         (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[21].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2825           (property INIT (string "1'b0"))
2826           (property IS_CLR_INVERTED (string "1'b0"))
2827           (property IS_C_INVERTED (string "1'b0"))
2828           (property IS_D_INVERTED (string "1'b0"))
2829           (property box_type (string "PRIMITIVE"))
2830         )
2831         (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[22].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2832           (property INIT (string "1'b0"))
2833           (property IS_CLR_INVERTED (string "1'b0"))
2834           (property IS_C_INVERTED (string "1'b0"))
2835           (property IS_D_INVERTED (string "1'b0"))
2836           (property box_type (string "PRIMITIVE"))
2837         )
2838         (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[23].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2839           (property INIT (string "1'b0"))
2840           (property IS_CLR_INVERTED (string "1'b0"))
2841           (property IS_C_INVERTED (string "1'b0"))
2842           (property IS_D_INVERTED (string "1'b0"))
2843           (property box_type (string "PRIMITIVE"))
2844         )
2845         (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[2].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2846           (property INIT (string "1'b0"))
2847           (property IS_CLR_INVERTED (string "1'b0"))
2848           (property IS_C_INVERTED (string "1'b0"))
2849           (property IS_D_INVERTED (string "1'b0"))
2850           (property box_type (string "PRIMITIVE"))
2851         )
2852         (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[3].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2853           (property INIT (string "1'b0"))
2854           (property IS_CLR_INVERTED (string "1'b0"))
2855           (property IS_C_INVERTED (string "1'b0"))
2856           (property IS_D_INVERTED (string "1'b0"))
2857           (property box_type (string "PRIMITIVE"))
2858         )
2859         (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[4].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2860           (property INIT (string "1'b0"))
2861           (property IS_CLR_INVERTED (string "1'b0"))
2862           (property IS_C_INVERTED (string "1'b0"))
2863           (property IS_D_INVERTED (string "1'b0"))
2864           (property box_type (string "PRIMITIVE"))
2865         )
2866         (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[5].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2867           (property INIT (string "1'b0"))
2868           (property IS_CLR_INVERTED (string "1'b0"))
2869           (property IS_C_INVERTED (string "1'b0"))
2870           (property IS_D_INVERTED (string "1'b0"))
2871           (property box_type (string "PRIMITIVE"))
2872         )
2873         (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[6].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2874           (property INIT (string "1'b0"))
2875           (property IS_CLR_INVERTED (string "1'b0"))
2876           (property IS_C_INVERTED (string "1'b0"))
2877           (property IS_D_INVERTED (string "1'b0"))
2878           (property box_type (string "PRIMITIVE"))
2879         )
2880         (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[7].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2881           (property INIT (string "1'b0"))
2882           (property IS_CLR_INVERTED (string "1'b0"))
2883           (property IS_C_INVERTED (string "1'b0"))
2884           (property IS_D_INVERTED (string "1'b0"))
2885           (property box_type (string "PRIMITIVE"))
2886         )
2887         (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[8].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2888           (property INIT (string "1'b0"))
2889           (property IS_CLR_INVERTED (string "1'b0"))
2890           (property IS_C_INVERTED (string "1'b0"))
2891           (property IS_D_INVERTED (string "1'b0"))
2892           (property box_type (string "PRIMITIVE"))
2893         )
2894         (instance (rename RePulse1CntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx "RePulse1CntHs/BlkOut.ODataFlop/GenFlops[9].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2895           (property INIT (string "1'b0"))
2896           (property IS_CLR_INVERTED (string "1'b0"))
2897           (property IS_C_INVERTED (string "1'b0"))
2898           (property IS_D_INVERTED (string "1'b0"))
2899           (property box_type (string "PRIMITIVE"))
2900         )
2901         (instance (rename RePulse1CntHs_BlkOut_oPushToggle1x_Gen0_FDCEx "RePulse1CntHs/BlkOut.oPushToggle1x/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2902           (property INIT (string "1'b0"))
2903           (property IS_CLR_INVERTED (string "1'b0"))
2904           (property IS_C_INVERTED (string "1'b0"))
2905           (property IS_D_INVERTED (string "1'b0"))
2906           (property ASYNC_REG (boolean (true)))
2907           (property box_type (string "PRIMITIVE"))
2908         )
2909         (instance (rename RePulse1CntHs_BlkOut_oPushToggle2_reg "RePulse1CntHs/BlkOut.oPushToggle2_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2910           (property INIT (string "1'b0"))
2911         )
2912         (instance (rename RePulse1CntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx "RePulse1CntHs/BlkOut.oPushToggle_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2913           (property INIT (string "1'b0"))
2914           (property IS_CLR_INVERTED (string "1'b0"))
2915           (property IS_C_INVERTED (string "1'b0"))
2916           (property IS_D_INVERTED (string "1'b0"))
2917           (property ASYNC_REG (boolean (true)))
2918           (property box_type (string "PRIMITIVE"))
2919         )
2920         (instance (rename RePulse2CntHs_BlkIn_iDlyPush_reg "RePulse2CntHs/BlkIn.iDlyPush_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2921           (property INIT (string "1'b0"))
2922         )
2923         (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_0_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[0]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2924           (property INIT (string "1'b0"))
2925         )
2926         (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_10_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[10]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2927           (property INIT (string "1'b0"))
2928         )
2929         (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_11_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[11]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2930           (property INIT (string "1'b0"))
2931         )
2932         (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_12_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[12]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2933           (property INIT (string "1'b0"))
2934         )
2935         (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_13_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[13]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2936           (property INIT (string "1'b0"))
2937         )
2938         (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_14_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[14]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2939           (property INIT (string "1'b0"))
2940         )
2941         (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_15_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[15]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2942           (property INIT (string "1'b0"))
2943         )
2944         (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_16_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[16]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2945           (property INIT (string "1'b0"))
2946         )
2947         (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_17_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[17]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2948           (property INIT (string "1'b0"))
2949         )
2950         (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_18_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[18]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2951           (property INIT (string "1'b0"))
2952         )
2953         (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_19_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[19]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2954           (property INIT (string "1'b0"))
2955         )
2956         (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_1_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2957           (property INIT (string "1'b0"))
2958         )
2959         (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_20_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[20]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2960           (property INIT (string "1'b0"))
2961         )
2962         (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_21_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[21]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2963           (property INIT (string "1'b0"))
2964         )
2965         (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_22_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[22]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2966           (property INIT (string "1'b0"))
2967         )
2968         (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_23_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[23]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2969           (property INIT (string "1'b0"))
2970         )
2971         (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_24_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[24]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2972           (property INIT (string "1'b0"))
2973         )
2974         (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_25_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[25]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2975           (property INIT (string "1'b0"))
2976         )
2977         (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_26_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[26]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2978           (property INIT (string "1'b0"))
2979         )
2980         (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_27_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[27]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2981           (property INIT (string "1'b0"))
2982         )
2983         (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_28_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[28]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2984           (property INIT (string "1'b0"))
2985         )
2986         (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_29_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[29]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2987           (property INIT (string "1'b0"))
2988         )
2989         (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_2_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2990           (property INIT (string "1'b0"))
2991         )
2992         (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_30_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[30]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2993           (property INIT (string "1'b0"))
2994         )
2995         (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_31_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[31]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2996           (property INIT (string "1'b0"))
2997         )
2998         (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_3_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
2999           (property INIT (string "1'b0"))
3000         )
3001         (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_4_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[4]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3002           (property INIT (string "1'b0"))
3003         )
3004         (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_5_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[5]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3005           (property INIT (string "1'b0"))
3006         )
3007         (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_6_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[6]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3008           (property INIT (string "1'b0"))
3009         )
3010         (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_7_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[7]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3011           (property INIT (string "1'b0"))
3012         )
3013         (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_8_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[8]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3014           (property INIT (string "1'b0"))
3015         )
3016         (instance (rename RePulse2CntHs_BlkIn_iLclStoredData_reg_9_ "RePulse2CntHs/BlkIn.iLclStoredData_reg[9]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3017           (property INIT (string "1'b0"))
3018         )
3019         (instance (rename RePulse2CntHs_BlkIn_iPushTogglex_Gen0_FDCEx "RePulse2CntHs/BlkIn.iPushTogglex/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3020           (property INIT (string "1'b0"))
3021           (property IS_CLR_INVERTED (string "1'b0"))
3022           (property IS_C_INVERTED (string "1'b0"))
3023           (property IS_D_INVERTED (string "1'b0"))
3024           (property box_type (string "PRIMITIVE"))
3025         )
3026         (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[0].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3027           (property INIT (string "1'b0"))
3028           (property IS_CLR_INVERTED (string "1'b0"))
3029           (property IS_C_INVERTED (string "1'b0"))
3030           (property IS_D_INVERTED (string "1'b0"))
3031           (property box_type (string "PRIMITIVE"))
3032         )
3033         (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[10].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3034           (property INIT (string "1'b0"))
3035           (property IS_CLR_INVERTED (string "1'b0"))
3036           (property IS_C_INVERTED (string "1'b0"))
3037           (property IS_D_INVERTED (string "1'b0"))
3038           (property box_type (string "PRIMITIVE"))
3039         )
3040         (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[11].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3041           (property INIT (string "1'b0"))
3042           (property IS_CLR_INVERTED (string "1'b0"))
3043           (property IS_C_INVERTED (string "1'b0"))
3044           (property IS_D_INVERTED (string "1'b0"))
3045           (property box_type (string "PRIMITIVE"))
3046         )
3047         (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[12].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3048           (property INIT (string "1'b0"))
3049           (property IS_CLR_INVERTED (string "1'b0"))
3050           (property IS_C_INVERTED (string "1'b0"))
3051           (property IS_D_INVERTED (string "1'b0"))
3052           (property box_type (string "PRIMITIVE"))
3053         )
3054         (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[13].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3055           (property INIT (string "1'b0"))
3056           (property IS_CLR_INVERTED (string "1'b0"))
3057           (property IS_C_INVERTED (string "1'b0"))
3058           (property IS_D_INVERTED (string "1'b0"))
3059           (property box_type (string "PRIMITIVE"))
3060         )
3061         (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[14].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3062           (property INIT (string "1'b0"))
3063           (property IS_CLR_INVERTED (string "1'b0"))
3064           (property IS_C_INVERTED (string "1'b0"))
3065           (property IS_D_INVERTED (string "1'b0"))
3066           (property box_type (string "PRIMITIVE"))
3067         )
3068         (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[15].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3069           (property INIT (string "1'b0"))
3070           (property IS_CLR_INVERTED (string "1'b0"))
3071           (property IS_C_INVERTED (string "1'b0"))
3072           (property IS_D_INVERTED (string "1'b0"))
3073           (property box_type (string "PRIMITIVE"))
3074         )
3075         (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[16].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3076           (property INIT (string "1'b0"))
3077           (property IS_CLR_INVERTED (string "1'b0"))
3078           (property IS_C_INVERTED (string "1'b0"))
3079           (property IS_D_INVERTED (string "1'b0"))
3080           (property box_type (string "PRIMITIVE"))
3081         )
3082         (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[17].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3083           (property INIT (string "1'b0"))
3084           (property IS_CLR_INVERTED (string "1'b0"))
3085           (property IS_C_INVERTED (string "1'b0"))
3086           (property IS_D_INVERTED (string "1'b0"))
3087           (property box_type (string "PRIMITIVE"))
3088         )
3089         (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[18].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3090           (property INIT (string "1'b0"))
3091           (property IS_CLR_INVERTED (string "1'b0"))
3092           (property IS_C_INVERTED (string "1'b0"))
3093           (property IS_D_INVERTED (string "1'b0"))
3094           (property box_type (string "PRIMITIVE"))
3095         )
3096         (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[19].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3097           (property INIT (string "1'b0"))
3098           (property IS_CLR_INVERTED (string "1'b0"))
3099           (property IS_C_INVERTED (string "1'b0"))
3100           (property IS_D_INVERTED (string "1'b0"))
3101           (property box_type (string "PRIMITIVE"))
3102         )
3103         (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[1].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3104           (property INIT (string "1'b0"))
3105           (property IS_CLR_INVERTED (string "1'b0"))
3106           (property IS_C_INVERTED (string "1'b0"))
3107           (property IS_D_INVERTED (string "1'b0"))
3108           (property box_type (string "PRIMITIVE"))
3109         )
3110         (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[20].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3111           (property INIT (string "1'b0"))
3112           (property IS_CLR_INVERTED (string "1'b0"))
3113           (property IS_C_INVERTED (string "1'b0"))
3114           (property IS_D_INVERTED (string "1'b0"))
3115           (property box_type (string "PRIMITIVE"))
3116         )
3117         (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[21].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3118           (property INIT (string "1'b0"))
3119           (property IS_CLR_INVERTED (string "1'b0"))
3120           (property IS_C_INVERTED (string "1'b0"))
3121           (property IS_D_INVERTED (string "1'b0"))
3122           (property box_type (string "PRIMITIVE"))
3123         )
3124         (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[22].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3125           (property INIT (string "1'b0"))
3126           (property IS_CLR_INVERTED (string "1'b0"))
3127           (property IS_C_INVERTED (string "1'b0"))
3128           (property IS_D_INVERTED (string "1'b0"))
3129           (property box_type (string "PRIMITIVE"))
3130         )
3131         (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[2].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3132           (property INIT (string "1'b0"))
3133           (property IS_CLR_INVERTED (string "1'b0"))
3134           (property IS_C_INVERTED (string "1'b0"))
3135           (property IS_D_INVERTED (string "1'b0"))
3136           (property box_type (string "PRIMITIVE"))
3137         )
3138         (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[3].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3139           (property INIT (string "1'b0"))
3140           (property IS_CLR_INVERTED (string "1'b0"))
3141           (property IS_C_INVERTED (string "1'b0"))
3142           (property IS_D_INVERTED (string "1'b0"))
3143           (property box_type (string "PRIMITIVE"))
3144         )
3145         (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[4].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3146           (property INIT (string "1'b0"))
3147           (property IS_CLR_INVERTED (string "1'b0"))
3148           (property IS_C_INVERTED (string "1'b0"))
3149           (property IS_D_INVERTED (string "1'b0"))
3150           (property box_type (string "PRIMITIVE"))
3151         )
3152         (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[5].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3153           (property INIT (string "1'b0"))
3154           (property IS_CLR_INVERTED (string "1'b0"))
3155           (property IS_C_INVERTED (string "1'b0"))
3156           (property IS_D_INVERTED (string "1'b0"))
3157           (property box_type (string "PRIMITIVE"))
3158         )
3159         (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[6].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3160           (property INIT (string "1'b0"))
3161           (property IS_CLR_INVERTED (string "1'b0"))
3162           (property IS_C_INVERTED (string "1'b0"))
3163           (property IS_D_INVERTED (string "1'b0"))
3164           (property box_type (string "PRIMITIVE"))
3165         )
3166         (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[7].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3167           (property INIT (string "1'b0"))
3168           (property IS_CLR_INVERTED (string "1'b0"))
3169           (property IS_C_INVERTED (string "1'b0"))
3170           (property IS_D_INVERTED (string "1'b0"))
3171           (property box_type (string "PRIMITIVE"))
3172         )
3173         (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[8].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3174           (property INIT (string "1'b0"))
3175           (property IS_CLR_INVERTED (string "1'b0"))
3176           (property IS_C_INVERTED (string "1'b0"))
3177           (property IS_D_INVERTED (string "1'b0"))
3178           (property box_type (string "PRIMITIVE"))
3179         )
3180         (instance (rename RePulse2CntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx "RePulse2CntHs/BlkOut.ODataFlop/GenFlops[9].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3181           (property INIT (string "1'b0"))
3182           (property IS_CLR_INVERTED (string "1'b0"))
3183           (property IS_C_INVERTED (string "1'b0"))
3184           (property IS_D_INVERTED (string "1'b0"))
3185           (property box_type (string "PRIMITIVE"))
3186         )
3187         (instance (rename RePulse2CntHs_BlkOut_oDataValid_reg "RePulse2CntHs/BlkOut.oDataValid_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3188           (property INIT (string "1'b0"))
3189         )
3190         (instance (rename RePulse2CntHs_BlkOut_oPushToggle1x_Gen0_FDCEx "RePulse2CntHs/BlkOut.oPushToggle1x/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3191           (property INIT (string "1'b0"))
3192           (property IS_CLR_INVERTED (string "1'b0"))
3193           (property IS_C_INVERTED (string "1'b0"))
3194           (property IS_D_INVERTED (string "1'b0"))
3195           (property ASYNC_REG (boolean (true)))
3196           (property box_type (string "PRIMITIVE"))
3197         )
3198         (instance (rename RePulse2CntHs_BlkOut_oPushToggle2_reg "RePulse2CntHs/BlkOut.oPushToggle2_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3199           (property INIT (string "1'b0"))
3200         )
3201         (instance (rename RePulse2CntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx "RePulse2CntHs/BlkOut.oPushToggle_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3202           (property INIT (string "1'b0"))
3203           (property IS_CLR_INVERTED (string "1'b0"))
3204           (property IS_C_INVERTED (string "1'b0"))
3205           (property IS_D_INVERTED (string "1'b0"))
3206           (property ASYNC_REG (boolean (true)))
3207           (property box_type (string "PRIMITIVE"))
3208         )
3209         (instance (rename RePulseCnt1ReadbackDsGen_0__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[0].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3210           (property INIT (string "1'b0"))
3211           (property IS_CLR_INVERTED (string "1'b0"))
3212           (property IS_C_INVERTED (string "1'b0"))
3213           (property IS_D_INVERTED (string "1'b0"))
3214           (property ASYNC_REG (boolean (true)))
3215           (property box_type (string "PRIMITIVE"))
3216         )
3217         (instance (rename RePulseCnt1ReadbackDsGen_0__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[0].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3218           (property INIT (string "1'b0"))
3219           (property IS_CLR_INVERTED (string "1'b0"))
3220           (property IS_C_INVERTED (string "1'b0"))
3221           (property IS_D_INVERTED (string "1'b0"))
3222           (property ASYNC_REG (boolean (true)))
3223           (property box_type (string "PRIMITIVE"))
3224         )
3225         (instance (rename RePulseCnt1ReadbackDsGen_10__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[10].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3226           (property INIT (string "1'b0"))
3227           (property IS_CLR_INVERTED (string "1'b0"))
3228           (property IS_C_INVERTED (string "1'b0"))
3229           (property IS_D_INVERTED (string "1'b0"))
3230           (property ASYNC_REG (boolean (true)))
3231           (property box_type (string "PRIMITIVE"))
3232         )
3233         (instance (rename RePulseCnt1ReadbackDsGen_10__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[10].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3234           (property INIT (string "1'b0"))
3235           (property IS_CLR_INVERTED (string "1'b0"))
3236           (property IS_C_INVERTED (string "1'b0"))
3237           (property IS_D_INVERTED (string "1'b0"))
3238           (property ASYNC_REG (boolean (true)))
3239           (property box_type (string "PRIMITIVE"))
3240         )
3241         (instance (rename RePulseCnt1ReadbackDsGen_11__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[11].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3242           (property INIT (string "1'b0"))
3243           (property IS_CLR_INVERTED (string "1'b0"))
3244           (property IS_C_INVERTED (string "1'b0"))
3245           (property IS_D_INVERTED (string "1'b0"))
3246           (property ASYNC_REG (boolean (true)))
3247           (property box_type (string "PRIMITIVE"))
3248         )
3249         (instance (rename RePulseCnt1ReadbackDsGen_11__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[11].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3250           (property INIT (string "1'b0"))
3251           (property IS_CLR_INVERTED (string "1'b0"))
3252           (property IS_C_INVERTED (string "1'b0"))
3253           (property IS_D_INVERTED (string "1'b0"))
3254           (property ASYNC_REG (boolean (true)))
3255           (property box_type (string "PRIMITIVE"))
3256         )
3257         (instance (rename RePulseCnt1ReadbackDsGen_12__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[12].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3258           (property INIT (string "1'b0"))
3259           (property IS_CLR_INVERTED (string "1'b0"))
3260           (property IS_C_INVERTED (string "1'b0"))
3261           (property IS_D_INVERTED (string "1'b0"))
3262           (property ASYNC_REG (boolean (true)))
3263           (property box_type (string "PRIMITIVE"))
3264         )
3265         (instance (rename RePulseCnt1ReadbackDsGen_12__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[12].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3266           (property INIT (string "1'b0"))
3267           (property IS_CLR_INVERTED (string "1'b0"))
3268           (property IS_C_INVERTED (string "1'b0"))
3269           (property IS_D_INVERTED (string "1'b0"))
3270           (property ASYNC_REG (boolean (true)))
3271           (property box_type (string "PRIMITIVE"))
3272         )
3273         (instance (rename RePulseCnt1ReadbackDsGen_13__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[13].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3274           (property INIT (string "1'b0"))
3275           (property IS_CLR_INVERTED (string "1'b0"))
3276           (property IS_C_INVERTED (string "1'b0"))
3277           (property IS_D_INVERTED (string "1'b0"))
3278           (property ASYNC_REG (boolean (true)))
3279           (property box_type (string "PRIMITIVE"))
3280         )
3281         (instance (rename RePulseCnt1ReadbackDsGen_13__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[13].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3282           (property INIT (string "1'b0"))
3283           (property IS_CLR_INVERTED (string "1'b0"))
3284           (property IS_C_INVERTED (string "1'b0"))
3285           (property IS_D_INVERTED (string "1'b0"))
3286           (property ASYNC_REG (boolean (true)))
3287           (property box_type (string "PRIMITIVE"))
3288         )
3289         (instance (rename RePulseCnt1ReadbackDsGen_14__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[14].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3290           (property INIT (string "1'b0"))
3291           (property IS_CLR_INVERTED (string "1'b0"))
3292           (property IS_C_INVERTED (string "1'b0"))
3293           (property IS_D_INVERTED (string "1'b0"))
3294           (property ASYNC_REG (boolean (true)))
3295           (property box_type (string "PRIMITIVE"))
3296         )
3297         (instance (rename RePulseCnt1ReadbackDsGen_14__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[14].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3298           (property INIT (string "1'b0"))
3299           (property IS_CLR_INVERTED (string "1'b0"))
3300           (property IS_C_INVERTED (string "1'b0"))
3301           (property IS_D_INVERTED (string "1'b0"))
3302           (property ASYNC_REG (boolean (true)))
3303           (property box_type (string "PRIMITIVE"))
3304         )
3305         (instance (rename RePulseCnt1ReadbackDsGen_15__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[15].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3306           (property INIT (string "1'b0"))
3307           (property IS_CLR_INVERTED (string "1'b0"))
3308           (property IS_C_INVERTED (string "1'b0"))
3309           (property IS_D_INVERTED (string "1'b0"))
3310           (property ASYNC_REG (boolean (true)))
3311           (property box_type (string "PRIMITIVE"))
3312         )
3313         (instance (rename RePulseCnt1ReadbackDsGen_15__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[15].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3314           (property INIT (string "1'b0"))
3315           (property IS_CLR_INVERTED (string "1'b0"))
3316           (property IS_C_INVERTED (string "1'b0"))
3317           (property IS_D_INVERTED (string "1'b0"))
3318           (property ASYNC_REG (boolean (true)))
3319           (property box_type (string "PRIMITIVE"))
3320         )
3321         (instance (rename RePulseCnt1ReadbackDsGen_16__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[16].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3322           (property INIT (string "1'b0"))
3323           (property IS_CLR_INVERTED (string "1'b0"))
3324           (property IS_C_INVERTED (string "1'b0"))
3325           (property IS_D_INVERTED (string "1'b0"))
3326           (property ASYNC_REG (boolean (true)))
3327           (property box_type (string "PRIMITIVE"))
3328         )
3329         (instance (rename RePulseCnt1ReadbackDsGen_16__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[16].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3330           (property INIT (string "1'b0"))
3331           (property IS_CLR_INVERTED (string "1'b0"))
3332           (property IS_C_INVERTED (string "1'b0"))
3333           (property IS_D_INVERTED (string "1'b0"))
3334           (property ASYNC_REG (boolean (true)))
3335           (property box_type (string "PRIMITIVE"))
3336         )
3337         (instance (rename RePulseCnt1ReadbackDsGen_17__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[17].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3338           (property INIT (string "1'b0"))
3339           (property IS_CLR_INVERTED (string "1'b0"))
3340           (property IS_C_INVERTED (string "1'b0"))
3341           (property IS_D_INVERTED (string "1'b0"))
3342           (property ASYNC_REG (boolean (true)))
3343           (property box_type (string "PRIMITIVE"))
3344         )
3345         (instance (rename RePulseCnt1ReadbackDsGen_17__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[17].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3346           (property INIT (string "1'b0"))
3347           (property IS_CLR_INVERTED (string "1'b0"))
3348           (property IS_C_INVERTED (string "1'b0"))
3349           (property IS_D_INVERTED (string "1'b0"))
3350           (property ASYNC_REG (boolean (true)))
3351           (property box_type (string "PRIMITIVE"))
3352         )
3353         (instance (rename RePulseCnt1ReadbackDsGen_18__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[18].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3354           (property INIT (string "1'b0"))
3355           (property IS_CLR_INVERTED (string "1'b0"))
3356           (property IS_C_INVERTED (string "1'b0"))
3357           (property IS_D_INVERTED (string "1'b0"))
3358           (property ASYNC_REG (boolean (true)))
3359           (property box_type (string "PRIMITIVE"))
3360         )
3361         (instance (rename RePulseCnt1ReadbackDsGen_18__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[18].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3362           (property INIT (string "1'b0"))
3363           (property IS_CLR_INVERTED (string "1'b0"))
3364           (property IS_C_INVERTED (string "1'b0"))
3365           (property IS_D_INVERTED (string "1'b0"))
3366           (property ASYNC_REG (boolean (true)))
3367           (property box_type (string "PRIMITIVE"))
3368         )
3369         (instance (rename RePulseCnt1ReadbackDsGen_19__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[19].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3370           (property INIT (string "1'b0"))
3371           (property IS_CLR_INVERTED (string "1'b0"))
3372           (property IS_C_INVERTED (string "1'b0"))
3373           (property IS_D_INVERTED (string "1'b0"))
3374           (property ASYNC_REG (boolean (true)))
3375           (property box_type (string "PRIMITIVE"))
3376         )
3377         (instance (rename RePulseCnt1ReadbackDsGen_19__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[19].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3378           (property INIT (string "1'b0"))
3379           (property IS_CLR_INVERTED (string "1'b0"))
3380           (property IS_C_INVERTED (string "1'b0"))
3381           (property IS_D_INVERTED (string "1'b0"))
3382           (property ASYNC_REG (boolean (true)))
3383           (property box_type (string "PRIMITIVE"))
3384         )
3385         (instance (rename RePulseCnt1ReadbackDsGen_1__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[1].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3386           (property INIT (string "1'b0"))
3387           (property IS_CLR_INVERTED (string "1'b0"))
3388           (property IS_C_INVERTED (string "1'b0"))
3389           (property IS_D_INVERTED (string "1'b0"))
3390           (property ASYNC_REG (boolean (true)))
3391           (property box_type (string "PRIMITIVE"))
3392         )
3393         (instance (rename RePulseCnt1ReadbackDsGen_1__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[1].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3394           (property INIT (string "1'b0"))
3395           (property IS_CLR_INVERTED (string "1'b0"))
3396           (property IS_C_INVERTED (string "1'b0"))
3397           (property IS_D_INVERTED (string "1'b0"))
3398           (property ASYNC_REG (boolean (true)))
3399           (property box_type (string "PRIMITIVE"))
3400         )
3401         (instance (rename RePulseCnt1ReadbackDsGen_20__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[20].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3402           (property INIT (string "1'b0"))
3403           (property IS_CLR_INVERTED (string "1'b0"))
3404           (property IS_C_INVERTED (string "1'b0"))
3405           (property IS_D_INVERTED (string "1'b0"))
3406           (property ASYNC_REG (boolean (true)))
3407           (property box_type (string "PRIMITIVE"))
3408         )
3409         (instance (rename RePulseCnt1ReadbackDsGen_20__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[20].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3410           (property INIT (string "1'b0"))
3411           (property IS_CLR_INVERTED (string "1'b0"))
3412           (property IS_C_INVERTED (string "1'b0"))
3413           (property IS_D_INVERTED (string "1'b0"))
3414           (property ASYNC_REG (boolean (true)))
3415           (property box_type (string "PRIMITIVE"))
3416         )
3417         (instance (rename RePulseCnt1ReadbackDsGen_21__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[21].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3418           (property INIT (string "1'b0"))
3419           (property IS_CLR_INVERTED (string "1'b0"))
3420           (property IS_C_INVERTED (string "1'b0"))
3421           (property IS_D_INVERTED (string "1'b0"))
3422           (property ASYNC_REG (boolean (true)))
3423           (property box_type (string "PRIMITIVE"))
3424         )
3425         (instance (rename RePulseCnt1ReadbackDsGen_21__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[21].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3426           (property INIT (string "1'b0"))
3427           (property IS_CLR_INVERTED (string "1'b0"))
3428           (property IS_C_INVERTED (string "1'b0"))
3429           (property IS_D_INVERTED (string "1'b0"))
3430           (property ASYNC_REG (boolean (true)))
3431           (property box_type (string "PRIMITIVE"))
3432         )
3433         (instance (rename RePulseCnt1ReadbackDsGen_22__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[22].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3434           (property INIT (string "1'b0"))
3435           (property IS_CLR_INVERTED (string "1'b0"))
3436           (property IS_C_INVERTED (string "1'b0"))
3437           (property IS_D_INVERTED (string "1'b0"))
3438           (property ASYNC_REG (boolean (true)))
3439           (property box_type (string "PRIMITIVE"))
3440         )
3441         (instance (rename RePulseCnt1ReadbackDsGen_22__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[22].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3442           (property INIT (string "1'b0"))
3443           (property IS_CLR_INVERTED (string "1'b0"))
3444           (property IS_C_INVERTED (string "1'b0"))
3445           (property IS_D_INVERTED (string "1'b0"))
3446           (property ASYNC_REG (boolean (true)))
3447           (property box_type (string "PRIMITIVE"))
3448         )
3449         (instance (rename RePulseCnt1ReadbackDsGen_23__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[23].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3450           (property INIT (string "1'b0"))
3451           (property IS_CLR_INVERTED (string "1'b0"))
3452           (property IS_C_INVERTED (string "1'b0"))
3453           (property IS_D_INVERTED (string "1'b0"))
3454           (property ASYNC_REG (boolean (true)))
3455           (property box_type (string "PRIMITIVE"))
3456         )
3457         (instance (rename RePulseCnt1ReadbackDsGen_23__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[23].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3458           (property INIT (string "1'b0"))
3459           (property IS_CLR_INVERTED (string "1'b0"))
3460           (property IS_C_INVERTED (string "1'b0"))
3461           (property IS_D_INVERTED (string "1'b0"))
3462           (property ASYNC_REG (boolean (true)))
3463           (property box_type (string "PRIMITIVE"))
3464         )
3465         (instance (rename RePulseCnt1ReadbackDsGen_24__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[24].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3466           (property INIT (string "1'b0"))
3467           (property IS_CLR_INVERTED (string "1'b0"))
3468           (property IS_C_INVERTED (string "1'b0"))
3469           (property IS_D_INVERTED (string "1'b0"))
3470           (property ASYNC_REG (boolean (true)))
3471           (property box_type (string "PRIMITIVE"))
3472         )
3473         (instance (rename RePulseCnt1ReadbackDsGen_24__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[24].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3474           (property INIT (string "1'b0"))
3475           (property IS_CLR_INVERTED (string "1'b0"))
3476           (property IS_C_INVERTED (string "1'b0"))
3477           (property IS_D_INVERTED (string "1'b0"))
3478           (property ASYNC_REG (boolean (true)))
3479           (property box_type (string "PRIMITIVE"))
3480         )
3481         (instance (rename RePulseCnt1ReadbackDsGen_25__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[25].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3482           (property INIT (string "1'b0"))
3483           (property IS_CLR_INVERTED (string "1'b0"))
3484           (property IS_C_INVERTED (string "1'b0"))
3485           (property IS_D_INVERTED (string "1'b0"))
3486           (property ASYNC_REG (boolean (true)))
3487           (property box_type (string "PRIMITIVE"))
3488         )
3489         (instance (rename RePulseCnt1ReadbackDsGen_25__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[25].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3490           (property INIT (string "1'b0"))
3491           (property IS_CLR_INVERTED (string "1'b0"))
3492           (property IS_C_INVERTED (string "1'b0"))
3493           (property IS_D_INVERTED (string "1'b0"))
3494           (property ASYNC_REG (boolean (true)))
3495           (property box_type (string "PRIMITIVE"))
3496         )
3497         (instance (rename RePulseCnt1ReadbackDsGen_26__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[26].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3498           (property INIT (string "1'b0"))
3499           (property IS_CLR_INVERTED (string "1'b0"))
3500           (property IS_C_INVERTED (string "1'b0"))
3501           (property IS_D_INVERTED (string "1'b0"))
3502           (property ASYNC_REG (boolean (true)))
3503           (property box_type (string "PRIMITIVE"))
3504         )
3505         (instance (rename RePulseCnt1ReadbackDsGen_26__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[26].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3506           (property INIT (string "1'b0"))
3507           (property IS_CLR_INVERTED (string "1'b0"))
3508           (property IS_C_INVERTED (string "1'b0"))
3509           (property IS_D_INVERTED (string "1'b0"))
3510           (property ASYNC_REG (boolean (true)))
3511           (property box_type (string "PRIMITIVE"))
3512         )
3513         (instance (rename RePulseCnt1ReadbackDsGen_27__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[27].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3514           (property INIT (string "1'b0"))
3515           (property IS_CLR_INVERTED (string "1'b0"))
3516           (property IS_C_INVERTED (string "1'b0"))
3517           (property IS_D_INVERTED (string "1'b0"))
3518           (property ASYNC_REG (boolean (true)))
3519           (property box_type (string "PRIMITIVE"))
3520         )
3521         (instance (rename RePulseCnt1ReadbackDsGen_27__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[27].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3522           (property INIT (string "1'b0"))
3523           (property IS_CLR_INVERTED (string "1'b0"))
3524           (property IS_C_INVERTED (string "1'b0"))
3525           (property IS_D_INVERTED (string "1'b0"))
3526           (property ASYNC_REG (boolean (true)))
3527           (property box_type (string "PRIMITIVE"))
3528         )
3529         (instance (rename RePulseCnt1ReadbackDsGen_28__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[28].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3530           (property INIT (string "1'b0"))
3531           (property IS_CLR_INVERTED (string "1'b0"))
3532           (property IS_C_INVERTED (string "1'b0"))
3533           (property IS_D_INVERTED (string "1'b0"))
3534           (property ASYNC_REG (boolean (true)))
3535           (property box_type (string "PRIMITIVE"))
3536         )
3537         (instance (rename RePulseCnt1ReadbackDsGen_28__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[28].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3538           (property INIT (string "1'b0"))
3539           (property IS_CLR_INVERTED (string "1'b0"))
3540           (property IS_C_INVERTED (string "1'b0"))
3541           (property IS_D_INVERTED (string "1'b0"))
3542           (property ASYNC_REG (boolean (true)))
3543           (property box_type (string "PRIMITIVE"))
3544         )
3545         (instance (rename RePulseCnt1ReadbackDsGen_29__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[29].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3546           (property INIT (string "1'b0"))
3547           (property IS_CLR_INVERTED (string "1'b0"))
3548           (property IS_C_INVERTED (string "1'b0"))
3549           (property IS_D_INVERTED (string "1'b0"))
3550           (property ASYNC_REG (boolean (true)))
3551           (property box_type (string "PRIMITIVE"))
3552         )
3553         (instance (rename RePulseCnt1ReadbackDsGen_29__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[29].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3554           (property INIT (string "1'b0"))
3555           (property IS_CLR_INVERTED (string "1'b0"))
3556           (property IS_C_INVERTED (string "1'b0"))
3557           (property IS_D_INVERTED (string "1'b0"))
3558           (property ASYNC_REG (boolean (true)))
3559           (property box_type (string "PRIMITIVE"))
3560         )
3561         (instance (rename RePulseCnt1ReadbackDsGen_2__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[2].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3562           (property INIT (string "1'b0"))
3563           (property IS_CLR_INVERTED (string "1'b0"))
3564           (property IS_C_INVERTED (string "1'b0"))
3565           (property IS_D_INVERTED (string "1'b0"))
3566           (property ASYNC_REG (boolean (true)))
3567           (property box_type (string "PRIMITIVE"))
3568         )
3569         (instance (rename RePulseCnt1ReadbackDsGen_2__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[2].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3570           (property INIT (string "1'b0"))
3571           (property IS_CLR_INVERTED (string "1'b0"))
3572           (property IS_C_INVERTED (string "1'b0"))
3573           (property IS_D_INVERTED (string "1'b0"))
3574           (property ASYNC_REG (boolean (true)))
3575           (property box_type (string "PRIMITIVE"))
3576         )
3577         (instance (rename RePulseCnt1ReadbackDsGen_30__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[30].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3578           (property INIT (string "1'b0"))
3579           (property IS_CLR_INVERTED (string "1'b0"))
3580           (property IS_C_INVERTED (string "1'b0"))
3581           (property IS_D_INVERTED (string "1'b0"))
3582           (property ASYNC_REG (boolean (true)))
3583           (property box_type (string "PRIMITIVE"))
3584         )
3585         (instance (rename RePulseCnt1ReadbackDsGen_30__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[30].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3586           (property INIT (string "1'b0"))
3587           (property IS_CLR_INVERTED (string "1'b0"))
3588           (property IS_C_INVERTED (string "1'b0"))
3589           (property IS_D_INVERTED (string "1'b0"))
3590           (property ASYNC_REG (boolean (true)))
3591           (property box_type (string "PRIMITIVE"))
3592         )
3593         (instance (rename RePulseCnt1ReadbackDsGen_31__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[31].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3594           (property INIT (string "1'b0"))
3595           (property IS_CLR_INVERTED (string "1'b0"))
3596           (property IS_C_INVERTED (string "1'b0"))
3597           (property IS_D_INVERTED (string "1'b0"))
3598           (property ASYNC_REG (boolean (true)))
3599           (property box_type (string "PRIMITIVE"))
3600         )
3601         (instance (rename RePulseCnt1ReadbackDsGen_31__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[31].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3602           (property INIT (string "1'b0"))
3603           (property IS_CLR_INVERTED (string "1'b0"))
3604           (property IS_C_INVERTED (string "1'b0"))
3605           (property IS_D_INVERTED (string "1'b0"))
3606           (property ASYNC_REG (boolean (true)))
3607           (property box_type (string "PRIMITIVE"))
3608         )
3609         (instance (rename RePulseCnt1ReadbackDsGen_3__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[3].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3610           (property INIT (string "1'b0"))
3611           (property IS_CLR_INVERTED (string "1'b0"))
3612           (property IS_C_INVERTED (string "1'b0"))
3613           (property IS_D_INVERTED (string "1'b0"))
3614           (property ASYNC_REG (boolean (true)))
3615           (property box_type (string "PRIMITIVE"))
3616         )
3617         (instance (rename RePulseCnt1ReadbackDsGen_3__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[3].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3618           (property INIT (string "1'b0"))
3619           (property IS_CLR_INVERTED (string "1'b0"))
3620           (property IS_C_INVERTED (string "1'b0"))
3621           (property IS_D_INVERTED (string "1'b0"))
3622           (property ASYNC_REG (boolean (true)))
3623           (property box_type (string "PRIMITIVE"))
3624         )
3625         (instance (rename RePulseCnt1ReadbackDsGen_4__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[4].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3626           (property INIT (string "1'b0"))
3627           (property IS_CLR_INVERTED (string "1'b0"))
3628           (property IS_C_INVERTED (string "1'b0"))
3629           (property IS_D_INVERTED (string "1'b0"))
3630           (property ASYNC_REG (boolean (true)))
3631           (property box_type (string "PRIMITIVE"))
3632         )
3633         (instance (rename RePulseCnt1ReadbackDsGen_4__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[4].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3634           (property INIT (string "1'b0"))
3635           (property IS_CLR_INVERTED (string "1'b0"))
3636           (property IS_C_INVERTED (string "1'b0"))
3637           (property IS_D_INVERTED (string "1'b0"))
3638           (property ASYNC_REG (boolean (true)))
3639           (property box_type (string "PRIMITIVE"))
3640         )
3641         (instance (rename RePulseCnt1ReadbackDsGen_5__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[5].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3642           (property INIT (string "1'b0"))
3643           (property IS_CLR_INVERTED (string "1'b0"))
3644           (property IS_C_INVERTED (string "1'b0"))
3645           (property IS_D_INVERTED (string "1'b0"))
3646           (property ASYNC_REG (boolean (true)))
3647           (property box_type (string "PRIMITIVE"))
3648         )
3649         (instance (rename RePulseCnt1ReadbackDsGen_5__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[5].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3650           (property INIT (string "1'b0"))
3651           (property IS_CLR_INVERTED (string "1'b0"))
3652           (property IS_C_INVERTED (string "1'b0"))
3653           (property IS_D_INVERTED (string "1'b0"))
3654           (property ASYNC_REG (boolean (true)))
3655           (property box_type (string "PRIMITIVE"))
3656         )
3657         (instance (rename RePulseCnt1ReadbackDsGen_6__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[6].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3658           (property INIT (string "1'b0"))
3659           (property IS_CLR_INVERTED (string "1'b0"))
3660           (property IS_C_INVERTED (string "1'b0"))
3661           (property IS_D_INVERTED (string "1'b0"))
3662           (property ASYNC_REG (boolean (true)))
3663           (property box_type (string "PRIMITIVE"))
3664         )
3665         (instance (rename RePulseCnt1ReadbackDsGen_6__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[6].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3666           (property INIT (string "1'b0"))
3667           (property IS_CLR_INVERTED (string "1'b0"))
3668           (property IS_C_INVERTED (string "1'b0"))
3669           (property IS_D_INVERTED (string "1'b0"))
3670           (property ASYNC_REG (boolean (true)))
3671           (property box_type (string "PRIMITIVE"))
3672         )
3673         (instance (rename RePulseCnt1ReadbackDsGen_7__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[7].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3674           (property INIT (string "1'b0"))
3675           (property IS_CLR_INVERTED (string "1'b0"))
3676           (property IS_C_INVERTED (string "1'b0"))
3677           (property IS_D_INVERTED (string "1'b0"))
3678           (property ASYNC_REG (boolean (true)))
3679           (property box_type (string "PRIMITIVE"))
3680         )
3681         (instance (rename RePulseCnt1ReadbackDsGen_7__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[7].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3682           (property INIT (string "1'b0"))
3683           (property IS_CLR_INVERTED (string "1'b0"))
3684           (property IS_C_INVERTED (string "1'b0"))
3685           (property IS_D_INVERTED (string "1'b0"))
3686           (property ASYNC_REG (boolean (true)))
3687           (property box_type (string "PRIMITIVE"))
3688         )
3689         (instance (rename RePulseCnt1ReadbackDsGen_8__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[8].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3690           (property INIT (string "1'b0"))
3691           (property IS_CLR_INVERTED (string "1'b0"))
3692           (property IS_C_INVERTED (string "1'b0"))
3693           (property IS_D_INVERTED (string "1'b0"))
3694           (property ASYNC_REG (boolean (true)))
3695           (property box_type (string "PRIMITIVE"))
3696         )
3697         (instance (rename RePulseCnt1ReadbackDsGen_8__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[8].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3698           (property INIT (string "1'b0"))
3699           (property IS_CLR_INVERTED (string "1'b0"))
3700           (property IS_C_INVERTED (string "1'b0"))
3701           (property IS_D_INVERTED (string "1'b0"))
3702           (property ASYNC_REG (boolean (true)))
3703           (property box_type (string "PRIMITIVE"))
3704         )
3705         (instance (rename RePulseCnt1ReadbackDsGen_9__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[9].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3706           (property INIT (string "1'b0"))
3707           (property IS_CLR_INVERTED (string "1'b0"))
3708           (property IS_C_INVERTED (string "1'b0"))
3709           (property IS_D_INVERTED (string "1'b0"))
3710           (property ASYNC_REG (boolean (true)))
3711           (property box_type (string "PRIMITIVE"))
3712         )
3713         (instance (rename RePulseCnt1ReadbackDsGen_9__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt1ReadbackDsGen[9].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3714           (property INIT (string "1'b0"))
3715           (property IS_CLR_INVERTED (string "1'b0"))
3716           (property IS_C_INVERTED (string "1'b0"))
3717           (property IS_D_INVERTED (string "1'b0"))
3718           (property ASYNC_REG (boolean (true)))
3719           (property box_type (string "PRIMITIVE"))
3720         )
3721         (instance (rename RePulseCnt2ReadbackDsGen_0__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[0].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3722           (property INIT (string "1'b0"))
3723           (property IS_CLR_INVERTED (string "1'b0"))
3724           (property IS_C_INVERTED (string "1'b0"))
3725           (property IS_D_INVERTED (string "1'b0"))
3726           (property ASYNC_REG (boolean (true)))
3727           (property box_type (string "PRIMITIVE"))
3728         )
3729         (instance (rename RePulseCnt2ReadbackDsGen_0__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[0].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3730           (property INIT (string "1'b0"))
3731           (property IS_CLR_INVERTED (string "1'b0"))
3732           (property IS_C_INVERTED (string "1'b0"))
3733           (property IS_D_INVERTED (string "1'b0"))
3734           (property ASYNC_REG (boolean (true)))
3735           (property box_type (string "PRIMITIVE"))
3736         )
3737         (instance (rename RePulseCnt2ReadbackDsGen_10__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[10].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3738           (property INIT (string "1'b0"))
3739           (property IS_CLR_INVERTED (string "1'b0"))
3740           (property IS_C_INVERTED (string "1'b0"))
3741           (property IS_D_INVERTED (string "1'b0"))
3742           (property ASYNC_REG (boolean (true)))
3743           (property box_type (string "PRIMITIVE"))
3744         )
3745         (instance (rename RePulseCnt2ReadbackDsGen_10__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[10].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3746           (property INIT (string "1'b0"))
3747           (property IS_CLR_INVERTED (string "1'b0"))
3748           (property IS_C_INVERTED (string "1'b0"))
3749           (property IS_D_INVERTED (string "1'b0"))
3750           (property ASYNC_REG (boolean (true)))
3751           (property box_type (string "PRIMITIVE"))
3752         )
3753         (instance (rename RePulseCnt2ReadbackDsGen_11__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[11].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3754           (property INIT (string "1'b0"))
3755           (property IS_CLR_INVERTED (string "1'b0"))
3756           (property IS_C_INVERTED (string "1'b0"))
3757           (property IS_D_INVERTED (string "1'b0"))
3758           (property ASYNC_REG (boolean (true)))
3759           (property box_type (string "PRIMITIVE"))
3760         )
3761         (instance (rename RePulseCnt2ReadbackDsGen_11__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[11].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3762           (property INIT (string "1'b0"))
3763           (property IS_CLR_INVERTED (string "1'b0"))
3764           (property IS_C_INVERTED (string "1'b0"))
3765           (property IS_D_INVERTED (string "1'b0"))
3766           (property ASYNC_REG (boolean (true)))
3767           (property box_type (string "PRIMITIVE"))
3768         )
3769         (instance (rename RePulseCnt2ReadbackDsGen_12__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[12].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3770           (property INIT (string "1'b0"))
3771           (property IS_CLR_INVERTED (string "1'b0"))
3772           (property IS_C_INVERTED (string "1'b0"))
3773           (property IS_D_INVERTED (string "1'b0"))
3774           (property ASYNC_REG (boolean (true)))
3775           (property box_type (string "PRIMITIVE"))
3776         )
3777         (instance (rename RePulseCnt2ReadbackDsGen_12__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[12].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3778           (property INIT (string "1'b0"))
3779           (property IS_CLR_INVERTED (string "1'b0"))
3780           (property IS_C_INVERTED (string "1'b0"))
3781           (property IS_D_INVERTED (string "1'b0"))
3782           (property ASYNC_REG (boolean (true)))
3783           (property box_type (string "PRIMITIVE"))
3784         )
3785         (instance (rename RePulseCnt2ReadbackDsGen_13__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[13].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3786           (property INIT (string "1'b0"))
3787           (property IS_CLR_INVERTED (string "1'b0"))
3788           (property IS_C_INVERTED (string "1'b0"))
3789           (property IS_D_INVERTED (string "1'b0"))
3790           (property ASYNC_REG (boolean (true)))
3791           (property box_type (string "PRIMITIVE"))
3792         )
3793         (instance (rename RePulseCnt2ReadbackDsGen_13__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[13].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3794           (property INIT (string "1'b0"))
3795           (property IS_CLR_INVERTED (string "1'b0"))
3796           (property IS_C_INVERTED (string "1'b0"))
3797           (property IS_D_INVERTED (string "1'b0"))
3798           (property ASYNC_REG (boolean (true)))
3799           (property box_type (string "PRIMITIVE"))
3800         )
3801         (instance (rename RePulseCnt2ReadbackDsGen_14__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[14].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3802           (property INIT (string "1'b0"))
3803           (property IS_CLR_INVERTED (string "1'b0"))
3804           (property IS_C_INVERTED (string "1'b0"))
3805           (property IS_D_INVERTED (string "1'b0"))
3806           (property ASYNC_REG (boolean (true)))
3807           (property box_type (string "PRIMITIVE"))
3808         )
3809         (instance (rename RePulseCnt2ReadbackDsGen_14__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[14].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3810           (property INIT (string "1'b0"))
3811           (property IS_CLR_INVERTED (string "1'b0"))
3812           (property IS_C_INVERTED (string "1'b0"))
3813           (property IS_D_INVERTED (string "1'b0"))
3814           (property ASYNC_REG (boolean (true)))
3815           (property box_type (string "PRIMITIVE"))
3816         )
3817         (instance (rename RePulseCnt2ReadbackDsGen_15__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[15].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3818           (property INIT (string "1'b0"))
3819           (property IS_CLR_INVERTED (string "1'b0"))
3820           (property IS_C_INVERTED (string "1'b0"))
3821           (property IS_D_INVERTED (string "1'b0"))
3822           (property ASYNC_REG (boolean (true)))
3823           (property box_type (string "PRIMITIVE"))
3824         )
3825         (instance (rename RePulseCnt2ReadbackDsGen_15__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[15].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3826           (property INIT (string "1'b0"))
3827           (property IS_CLR_INVERTED (string "1'b0"))
3828           (property IS_C_INVERTED (string "1'b0"))
3829           (property IS_D_INVERTED (string "1'b0"))
3830           (property ASYNC_REG (boolean (true)))
3831           (property box_type (string "PRIMITIVE"))
3832         )
3833         (instance (rename RePulseCnt2ReadbackDsGen_16__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[16].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3834           (property INIT (string "1'b0"))
3835           (property IS_CLR_INVERTED (string "1'b0"))
3836           (property IS_C_INVERTED (string "1'b0"))
3837           (property IS_D_INVERTED (string "1'b0"))
3838           (property ASYNC_REG (boolean (true)))
3839           (property box_type (string "PRIMITIVE"))
3840         )
3841         (instance (rename RePulseCnt2ReadbackDsGen_16__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[16].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3842           (property INIT (string "1'b0"))
3843           (property IS_CLR_INVERTED (string "1'b0"))
3844           (property IS_C_INVERTED (string "1'b0"))
3845           (property IS_D_INVERTED (string "1'b0"))
3846           (property ASYNC_REG (boolean (true)))
3847           (property box_type (string "PRIMITIVE"))
3848         )
3849         (instance (rename RePulseCnt2ReadbackDsGen_17__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[17].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3850           (property INIT (string "1'b0"))
3851           (property IS_CLR_INVERTED (string "1'b0"))
3852           (property IS_C_INVERTED (string "1'b0"))
3853           (property IS_D_INVERTED (string "1'b0"))
3854           (property ASYNC_REG (boolean (true)))
3855           (property box_type (string "PRIMITIVE"))
3856         )
3857         (instance (rename RePulseCnt2ReadbackDsGen_17__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[17].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3858           (property INIT (string "1'b0"))
3859           (property IS_CLR_INVERTED (string "1'b0"))
3860           (property IS_C_INVERTED (string "1'b0"))
3861           (property IS_D_INVERTED (string "1'b0"))
3862           (property ASYNC_REG (boolean (true)))
3863           (property box_type (string "PRIMITIVE"))
3864         )
3865         (instance (rename RePulseCnt2ReadbackDsGen_18__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[18].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3866           (property INIT (string "1'b0"))
3867           (property IS_CLR_INVERTED (string "1'b0"))
3868           (property IS_C_INVERTED (string "1'b0"))
3869           (property IS_D_INVERTED (string "1'b0"))
3870           (property ASYNC_REG (boolean (true)))
3871           (property box_type (string "PRIMITIVE"))
3872         )
3873         (instance (rename RePulseCnt2ReadbackDsGen_18__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[18].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3874           (property INIT (string "1'b0"))
3875           (property IS_CLR_INVERTED (string "1'b0"))
3876           (property IS_C_INVERTED (string "1'b0"))
3877           (property IS_D_INVERTED (string "1'b0"))
3878           (property ASYNC_REG (boolean (true)))
3879           (property box_type (string "PRIMITIVE"))
3880         )
3881         (instance (rename RePulseCnt2ReadbackDsGen_19__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[19].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3882           (property INIT (string "1'b0"))
3883           (property IS_CLR_INVERTED (string "1'b0"))
3884           (property IS_C_INVERTED (string "1'b0"))
3885           (property IS_D_INVERTED (string "1'b0"))
3886           (property ASYNC_REG (boolean (true)))
3887           (property box_type (string "PRIMITIVE"))
3888         )
3889         (instance (rename RePulseCnt2ReadbackDsGen_19__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[19].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3890           (property INIT (string "1'b0"))
3891           (property IS_CLR_INVERTED (string "1'b0"))
3892           (property IS_C_INVERTED (string "1'b0"))
3893           (property IS_D_INVERTED (string "1'b0"))
3894           (property ASYNC_REG (boolean (true)))
3895           (property box_type (string "PRIMITIVE"))
3896         )
3897         (instance (rename RePulseCnt2ReadbackDsGen_1__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[1].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3898           (property INIT (string "1'b0"))
3899           (property IS_CLR_INVERTED (string "1'b0"))
3900           (property IS_C_INVERTED (string "1'b0"))
3901           (property IS_D_INVERTED (string "1'b0"))
3902           (property ASYNC_REG (boolean (true)))
3903           (property box_type (string "PRIMITIVE"))
3904         )
3905         (instance (rename RePulseCnt2ReadbackDsGen_1__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[1].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3906           (property INIT (string "1'b0"))
3907           (property IS_CLR_INVERTED (string "1'b0"))
3908           (property IS_C_INVERTED (string "1'b0"))
3909           (property IS_D_INVERTED (string "1'b0"))
3910           (property ASYNC_REG (boolean (true)))
3911           (property box_type (string "PRIMITIVE"))
3912         )
3913         (instance (rename RePulseCnt2ReadbackDsGen_20__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[20].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3914           (property INIT (string "1'b0"))
3915           (property IS_CLR_INVERTED (string "1'b0"))
3916           (property IS_C_INVERTED (string "1'b0"))
3917           (property IS_D_INVERTED (string "1'b0"))
3918           (property ASYNC_REG (boolean (true)))
3919           (property box_type (string "PRIMITIVE"))
3920         )
3921         (instance (rename RePulseCnt2ReadbackDsGen_20__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[20].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3922           (property INIT (string "1'b0"))
3923           (property IS_CLR_INVERTED (string "1'b0"))
3924           (property IS_C_INVERTED (string "1'b0"))
3925           (property IS_D_INVERTED (string "1'b0"))
3926           (property ASYNC_REG (boolean (true)))
3927           (property box_type (string "PRIMITIVE"))
3928         )
3929         (instance (rename RePulseCnt2ReadbackDsGen_21__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[21].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3930           (property INIT (string "1'b0"))
3931           (property IS_CLR_INVERTED (string "1'b0"))
3932           (property IS_C_INVERTED (string "1'b0"))
3933           (property IS_D_INVERTED (string "1'b0"))
3934           (property ASYNC_REG (boolean (true)))
3935           (property box_type (string "PRIMITIVE"))
3936         )
3937         (instance (rename RePulseCnt2ReadbackDsGen_21__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[21].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3938           (property INIT (string "1'b0"))
3939           (property IS_CLR_INVERTED (string "1'b0"))
3940           (property IS_C_INVERTED (string "1'b0"))
3941           (property IS_D_INVERTED (string "1'b0"))
3942           (property ASYNC_REG (boolean (true)))
3943           (property box_type (string "PRIMITIVE"))
3944         )
3945         (instance (rename RePulseCnt2ReadbackDsGen_22__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[22].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3946           (property INIT (string "1'b0"))
3947           (property IS_CLR_INVERTED (string "1'b0"))
3948           (property IS_C_INVERTED (string "1'b0"))
3949           (property IS_D_INVERTED (string "1'b0"))
3950           (property ASYNC_REG (boolean (true)))
3951           (property box_type (string "PRIMITIVE"))
3952         )
3953         (instance (rename RePulseCnt2ReadbackDsGen_22__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[22].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3954           (property INIT (string "1'b0"))
3955           (property IS_CLR_INVERTED (string "1'b0"))
3956           (property IS_C_INVERTED (string "1'b0"))
3957           (property IS_D_INVERTED (string "1'b0"))
3958           (property ASYNC_REG (boolean (true)))
3959           (property box_type (string "PRIMITIVE"))
3960         )
3961         (instance (rename RePulseCnt2ReadbackDsGen_23__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[23].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3962           (property INIT (string "1'b0"))
3963           (property IS_CLR_INVERTED (string "1'b0"))
3964           (property IS_C_INVERTED (string "1'b0"))
3965           (property IS_D_INVERTED (string "1'b0"))
3966           (property ASYNC_REG (boolean (true)))
3967           (property box_type (string "PRIMITIVE"))
3968         )
3969         (instance (rename RePulseCnt2ReadbackDsGen_23__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[23].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3970           (property INIT (string "1'b0"))
3971           (property IS_CLR_INVERTED (string "1'b0"))
3972           (property IS_C_INVERTED (string "1'b0"))
3973           (property IS_D_INVERTED (string "1'b0"))
3974           (property ASYNC_REG (boolean (true)))
3975           (property box_type (string "PRIMITIVE"))
3976         )
3977         (instance (rename RePulseCnt2ReadbackDsGen_24__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[24].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3978           (property INIT (string "1'b0"))
3979           (property IS_CLR_INVERTED (string "1'b0"))
3980           (property IS_C_INVERTED (string "1'b0"))
3981           (property IS_D_INVERTED (string "1'b0"))
3982           (property ASYNC_REG (boolean (true)))
3983           (property box_type (string "PRIMITIVE"))
3984         )
3985         (instance (rename RePulseCnt2ReadbackDsGen_24__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[24].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3986           (property INIT (string "1'b0"))
3987           (property IS_CLR_INVERTED (string "1'b0"))
3988           (property IS_C_INVERTED (string "1'b0"))
3989           (property IS_D_INVERTED (string "1'b0"))
3990           (property ASYNC_REG (boolean (true)))
3991           (property box_type (string "PRIMITIVE"))
3992         )
3993         (instance (rename RePulseCnt2ReadbackDsGen_25__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[25].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
3994           (property INIT (string "1'b0"))
3995           (property IS_CLR_INVERTED (string "1'b0"))
3996           (property IS_C_INVERTED (string "1'b0"))
3997           (property IS_D_INVERTED (string "1'b0"))
3998           (property ASYNC_REG (boolean (true)))
3999           (property box_type (string "PRIMITIVE"))
4000         )
4001         (instance (rename RePulseCnt2ReadbackDsGen_25__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[25].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4002           (property INIT (string "1'b0"))
4003           (property IS_CLR_INVERTED (string "1'b0"))
4004           (property IS_C_INVERTED (string "1'b0"))
4005           (property IS_D_INVERTED (string "1'b0"))
4006           (property ASYNC_REG (boolean (true)))
4007           (property box_type (string "PRIMITIVE"))
4008         )
4009         (instance (rename RePulseCnt2ReadbackDsGen_26__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[26].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4010           (property INIT (string "1'b0"))
4011           (property IS_CLR_INVERTED (string "1'b0"))
4012           (property IS_C_INVERTED (string "1'b0"))
4013           (property IS_D_INVERTED (string "1'b0"))
4014           (property ASYNC_REG (boolean (true)))
4015           (property box_type (string "PRIMITIVE"))
4016         )
4017         (instance (rename RePulseCnt2ReadbackDsGen_26__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[26].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4018           (property INIT (string "1'b0"))
4019           (property IS_CLR_INVERTED (string "1'b0"))
4020           (property IS_C_INVERTED (string "1'b0"))
4021           (property IS_D_INVERTED (string "1'b0"))
4022           (property ASYNC_REG (boolean (true)))
4023           (property box_type (string "PRIMITIVE"))
4024         )
4025         (instance (rename RePulseCnt2ReadbackDsGen_27__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[27].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4026           (property INIT (string "1'b0"))
4027           (property IS_CLR_INVERTED (string "1'b0"))
4028           (property IS_C_INVERTED (string "1'b0"))
4029           (property IS_D_INVERTED (string "1'b0"))
4030           (property ASYNC_REG (boolean (true)))
4031           (property box_type (string "PRIMITIVE"))
4032         )
4033         (instance (rename RePulseCnt2ReadbackDsGen_27__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[27].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4034           (property INIT (string "1'b0"))
4035           (property IS_CLR_INVERTED (string "1'b0"))
4036           (property IS_C_INVERTED (string "1'b0"))
4037           (property IS_D_INVERTED (string "1'b0"))
4038           (property ASYNC_REG (boolean (true)))
4039           (property box_type (string "PRIMITIVE"))
4040         )
4041         (instance (rename RePulseCnt2ReadbackDsGen_28__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[28].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4042           (property INIT (string "1'b0"))
4043           (property IS_CLR_INVERTED (string "1'b0"))
4044           (property IS_C_INVERTED (string "1'b0"))
4045           (property IS_D_INVERTED (string "1'b0"))
4046           (property ASYNC_REG (boolean (true)))
4047           (property box_type (string "PRIMITIVE"))
4048         )
4049         (instance (rename RePulseCnt2ReadbackDsGen_28__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[28].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4050           (property INIT (string "1'b0"))
4051           (property IS_CLR_INVERTED (string "1'b0"))
4052           (property IS_C_INVERTED (string "1'b0"))
4053           (property IS_D_INVERTED (string "1'b0"))
4054           (property ASYNC_REG (boolean (true)))
4055           (property box_type (string "PRIMITIVE"))
4056         )
4057         (instance (rename RePulseCnt2ReadbackDsGen_29__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[29].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4058           (property INIT (string "1'b0"))
4059           (property IS_CLR_INVERTED (string "1'b0"))
4060           (property IS_C_INVERTED (string "1'b0"))
4061           (property IS_D_INVERTED (string "1'b0"))
4062           (property ASYNC_REG (boolean (true)))
4063           (property box_type (string "PRIMITIVE"))
4064         )
4065         (instance (rename RePulseCnt2ReadbackDsGen_29__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[29].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4066           (property INIT (string "1'b0"))
4067           (property IS_CLR_INVERTED (string "1'b0"))
4068           (property IS_C_INVERTED (string "1'b0"))
4069           (property IS_D_INVERTED (string "1'b0"))
4070           (property ASYNC_REG (boolean (true)))
4071           (property box_type (string "PRIMITIVE"))
4072         )
4073         (instance (rename RePulseCnt2ReadbackDsGen_2__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[2].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4074           (property INIT (string "1'b0"))
4075           (property IS_CLR_INVERTED (string "1'b0"))
4076           (property IS_C_INVERTED (string "1'b0"))
4077           (property IS_D_INVERTED (string "1'b0"))
4078           (property ASYNC_REG (boolean (true)))
4079           (property box_type (string "PRIMITIVE"))
4080         )
4081         (instance (rename RePulseCnt2ReadbackDsGen_2__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[2].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4082           (property INIT (string "1'b0"))
4083           (property IS_CLR_INVERTED (string "1'b0"))
4084           (property IS_C_INVERTED (string "1'b0"))
4085           (property IS_D_INVERTED (string "1'b0"))
4086           (property ASYNC_REG (boolean (true)))
4087           (property box_type (string "PRIMITIVE"))
4088         )
4089         (instance (rename RePulseCnt2ReadbackDsGen_30__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[30].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4090           (property INIT (string "1'b0"))
4091           (property IS_CLR_INVERTED (string "1'b0"))
4092           (property IS_C_INVERTED (string "1'b0"))
4093           (property IS_D_INVERTED (string "1'b0"))
4094           (property ASYNC_REG (boolean (true)))
4095           (property box_type (string "PRIMITIVE"))
4096         )
4097         (instance (rename RePulseCnt2ReadbackDsGen_30__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[30].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4098           (property INIT (string "1'b0"))
4099           (property IS_CLR_INVERTED (string "1'b0"))
4100           (property IS_C_INVERTED (string "1'b0"))
4101           (property IS_D_INVERTED (string "1'b0"))
4102           (property ASYNC_REG (boolean (true)))
4103           (property box_type (string "PRIMITIVE"))
4104         )
4105         (instance (rename RePulseCnt2ReadbackDsGen_31__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[31].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4106           (property INIT (string "1'b0"))
4107           (property IS_CLR_INVERTED (string "1'b0"))
4108           (property IS_C_INVERTED (string "1'b0"))
4109           (property IS_D_INVERTED (string "1'b0"))
4110           (property ASYNC_REG (boolean (true)))
4111           (property box_type (string "PRIMITIVE"))
4112         )
4113         (instance (rename RePulseCnt2ReadbackDsGen_31__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[31].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4114           (property INIT (string "1'b0"))
4115           (property IS_CLR_INVERTED (string "1'b0"))
4116           (property IS_C_INVERTED (string "1'b0"))
4117           (property IS_D_INVERTED (string "1'b0"))
4118           (property ASYNC_REG (boolean (true)))
4119           (property box_type (string "PRIMITIVE"))
4120         )
4121         (instance (rename RePulseCnt2ReadbackDsGen_3__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[3].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4122           (property INIT (string "1'b0"))
4123           (property IS_CLR_INVERTED (string "1'b0"))
4124           (property IS_C_INVERTED (string "1'b0"))
4125           (property IS_D_INVERTED (string "1'b0"))
4126           (property ASYNC_REG (boolean (true)))
4127           (property box_type (string "PRIMITIVE"))
4128         )
4129         (instance (rename RePulseCnt2ReadbackDsGen_3__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[3].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4130           (property INIT (string "1'b0"))
4131           (property IS_CLR_INVERTED (string "1'b0"))
4132           (property IS_C_INVERTED (string "1'b0"))
4133           (property IS_D_INVERTED (string "1'b0"))
4134           (property ASYNC_REG (boolean (true)))
4135           (property box_type (string "PRIMITIVE"))
4136         )
4137         (instance (rename RePulseCnt2ReadbackDsGen_4__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[4].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4138           (property INIT (string "1'b0"))
4139           (property IS_CLR_INVERTED (string "1'b0"))
4140           (property IS_C_INVERTED (string "1'b0"))
4141           (property IS_D_INVERTED (string "1'b0"))
4142           (property ASYNC_REG (boolean (true)))
4143           (property box_type (string "PRIMITIVE"))
4144         )
4145         (instance (rename RePulseCnt2ReadbackDsGen_4__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[4].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4146           (property INIT (string "1'b0"))
4147           (property IS_CLR_INVERTED (string "1'b0"))
4148           (property IS_C_INVERTED (string "1'b0"))
4149           (property IS_D_INVERTED (string "1'b0"))
4150           (property ASYNC_REG (boolean (true)))
4151           (property box_type (string "PRIMITIVE"))
4152         )
4153         (instance (rename RePulseCnt2ReadbackDsGen_5__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[5].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4154           (property INIT (string "1'b0"))
4155           (property IS_CLR_INVERTED (string "1'b0"))
4156           (property IS_C_INVERTED (string "1'b0"))
4157           (property IS_D_INVERTED (string "1'b0"))
4158           (property ASYNC_REG (boolean (true)))
4159           (property box_type (string "PRIMITIVE"))
4160         )
4161         (instance (rename RePulseCnt2ReadbackDsGen_5__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[5].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4162           (property INIT (string "1'b0"))
4163           (property IS_CLR_INVERTED (string "1'b0"))
4164           (property IS_C_INVERTED (string "1'b0"))
4165           (property IS_D_INVERTED (string "1'b0"))
4166           (property ASYNC_REG (boolean (true)))
4167           (property box_type (string "PRIMITIVE"))
4168         )
4169         (instance (rename RePulseCnt2ReadbackDsGen_6__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[6].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4170           (property INIT (string "1'b0"))
4171           (property IS_CLR_INVERTED (string "1'b0"))
4172           (property IS_C_INVERTED (string "1'b0"))
4173           (property IS_D_INVERTED (string "1'b0"))
4174           (property ASYNC_REG (boolean (true)))
4175           (property box_type (string "PRIMITIVE"))
4176         )
4177         (instance (rename RePulseCnt2ReadbackDsGen_6__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[6].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4178           (property INIT (string "1'b0"))
4179           (property IS_CLR_INVERTED (string "1'b0"))
4180           (property IS_C_INVERTED (string "1'b0"))
4181           (property IS_D_INVERTED (string "1'b0"))
4182           (property ASYNC_REG (boolean (true)))
4183           (property box_type (string "PRIMITIVE"))
4184         )
4185         (instance (rename RePulseCnt2ReadbackDsGen_7__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[7].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4186           (property INIT (string "1'b0"))
4187           (property IS_CLR_INVERTED (string "1'b0"))
4188           (property IS_C_INVERTED (string "1'b0"))
4189           (property IS_D_INVERTED (string "1'b0"))
4190           (property ASYNC_REG (boolean (true)))
4191           (property box_type (string "PRIMITIVE"))
4192         )
4193         (instance (rename RePulseCnt2ReadbackDsGen_7__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[7].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4194           (property INIT (string "1'b0"))
4195           (property IS_CLR_INVERTED (string "1'b0"))
4196           (property IS_C_INVERTED (string "1'b0"))
4197           (property IS_D_INVERTED (string "1'b0"))
4198           (property ASYNC_REG (boolean (true)))
4199           (property box_type (string "PRIMITIVE"))
4200         )
4201         (instance (rename RePulseCnt2ReadbackDsGen_8__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[8].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4202           (property INIT (string "1'b0"))
4203           (property IS_CLR_INVERTED (string "1'b0"))
4204           (property IS_C_INVERTED (string "1'b0"))
4205           (property IS_D_INVERTED (string "1'b0"))
4206           (property ASYNC_REG (boolean (true)))
4207           (property box_type (string "PRIMITIVE"))
4208         )
4209         (instance (rename RePulseCnt2ReadbackDsGen_8__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[8].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4210           (property INIT (string "1'b0"))
4211           (property IS_CLR_INVERTED (string "1'b0"))
4212           (property IS_C_INVERTED (string "1'b0"))
4213           (property IS_D_INVERTED (string "1'b0"))
4214           (property ASYNC_REG (boolean (true)))
4215           (property box_type (string "PRIMITIVE"))
4216         )
4217         (instance (rename RePulseCnt2ReadbackDsGen_9__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[9].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4218           (property INIT (string "1'b0"))
4219           (property IS_CLR_INVERTED (string "1'b0"))
4220           (property IS_C_INVERTED (string "1'b0"))
4221           (property IS_D_INVERTED (string "1'b0"))
4222           (property ASYNC_REG (boolean (true)))
4223           (property box_type (string "PRIMITIVE"))
4224         )
4225         (instance (rename RePulseCnt2ReadbackDsGen_9__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RePulseCnt2ReadbackDsGen[9].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4226           (property INIT (string "1'b0"))
4227           (property IS_CLR_INVERTED (string "1'b0"))
4228           (property IS_C_INVERTED (string "1'b0"))
4229           (property IS_D_INVERTED (string "1'b0"))
4230           (property ASYNC_REG (boolean (true)))
4231           (property box_type (string "PRIMITIVE"))
4232         )
4233         (instance (rename ReRunEnableDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "ReRunEnableDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4234           (property INIT (string "1'b0"))
4235           (property IS_CLR_INVERTED (string "1'b0"))
4236           (property IS_C_INVERTED (string "1'b0"))
4237           (property IS_D_INVERTED (string "1'b0"))
4238           (property ASYNC_REG (boolean (true)))
4239           (property box_type (string "PRIMITIVE"))
4240         )
4241         (instance (rename ReRunEnableDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "ReRunEnableDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4242           (property INIT (string "1'b0"))
4243           (property IS_CLR_INVERTED (string "1'b0"))
4244           (property IS_C_INVERTED (string "1'b0"))
4245           (property IS_D_INVERTED (string "1'b0"))
4246           (property ASYNC_REG (boolean (true)))
4247           (property box_type (string "PRIMITIVE"))
4248         )
4249         (instance (rename ReRunEnableDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx "ReRunEnableDs/DoubleSyncBasex/iDlySigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4250           (property INIT (string "1'b0"))
4251           (property IS_CLR_INVERTED (string "1'b0"))
4252           (property IS_C_INVERTED (string "1'b0"))
4253           (property IS_D_INVERTED (string "1'b0"))
4254           (property box_type (string "PRIMITIVE"))
4255         )
4256         (instance (rename ResetDoneDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "ResetDoneDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4257           (property INIT (string "1'b0"))
4258           (property IS_CLR_INVERTED (string "1'b0"))
4259           (property IS_C_INVERTED (string "1'b0"))
4260           (property IS_D_INVERTED (string "1'b0"))
4261           (property ASYNC_REG (boolean (true)))
4262           (property box_type (string "PRIMITIVE"))
4263         )
4264         (instance (rename ResetDoneDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "ResetDoneDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4265           (property INIT (string "1'b0"))
4266           (property IS_CLR_INVERTED (string "1'b0"))
4267           (property IS_C_INVERTED (string "1'b0"))
4268           (property IS_D_INVERTED (string "1'b0"))
4269           (property ASYNC_REG (boolean (true)))
4270           (property box_type (string "PRIMITIVE"))
4271         )
4272         (instance (rename ResetDoneDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx "ResetDoneDs/DoubleSyncBasex/iDlySigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4273           (property INIT (string "1'b0"))
4274           (property IS_CLR_INVERTED (string "1'b0"))
4275           (property IS_C_INVERTED (string "1'b0"))
4276           (property IS_D_INVERTED (string "1'b0"))
4277           (property box_type (string "PRIMITIVE"))
4278         )
4279         (instance (rename ResetTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "ResetTdcDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4280           (property INIT (string "1'b0"))
4281           (property IS_CLR_INVERTED (string "1'b0"))
4282           (property IS_C_INVERTED (string "1'b0"))
4283           (property IS_D_INVERTED (string "1'b0"))
4284           (property ASYNC_REG (boolean (true)))
4285           (property box_type (string "PRIMITIVE"))
4286         )
4287         (instance (rename ResetTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "ResetTdcDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4288           (property INIT (string "1'b0"))
4289           (property IS_CLR_INVERTED (string "1'b0"))
4290           (property IS_C_INVERTED (string "1'b0"))
4291           (property IS_D_INVERTED (string "1'b0"))
4292           (property ASYNC_REG (boolean (true)))
4293           (property box_type (string "PRIMITIVE"))
4294         )
4295         (instance (rename ResetTdcDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx "ResetTdcDs/DoubleSyncBasex/iDlySigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4296           (property INIT (string "1'b0"))
4297           (property IS_CLR_INVERTED (string "1'b0"))
4298           (property IS_C_INVERTED (string "1'b0"))
4299           (property IS_D_INVERTED (string "1'b0"))
4300           (property box_type (string "PRIMITIVE"))
4301         )
4302         (instance (rename RpCntHs_BlkIn_iDlyPush_reg "RpCntHs/BlkIn.iDlyPush_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4303           (property INIT (string "1'b0"))
4304         )
4305         (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_0_ "RpCntHs/BlkIn.iLclStoredData_reg[0]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4306           (property INIT (string "1'b0"))
4307         )
4308         (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_10_ "RpCntHs/BlkIn.iLclStoredData_reg[10]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4309           (property INIT (string "1'b0"))
4310         )
4311         (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_11_ "RpCntHs/BlkIn.iLclStoredData_reg[11]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4312           (property INIT (string "1'b0"))
4313         )
4314         (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_12_ "RpCntHs/BlkIn.iLclStoredData_reg[12]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4315           (property INIT (string "1'b0"))
4316         )
4317         (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_13_ "RpCntHs/BlkIn.iLclStoredData_reg[13]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4318           (property INIT (string "1'b0"))
4319         )
4320         (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_14_ "RpCntHs/BlkIn.iLclStoredData_reg[14]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4321           (property INIT (string "1'b0"))
4322         )
4323         (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_15_ "RpCntHs/BlkIn.iLclStoredData_reg[15]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4324           (property INIT (string "1'b0"))
4325         )
4326         (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_16_ "RpCntHs/BlkIn.iLclStoredData_reg[16]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4327           (property INIT (string "1'b0"))
4328         )
4329         (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_17_ "RpCntHs/BlkIn.iLclStoredData_reg[17]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4330           (property INIT (string "1'b0"))
4331         )
4332         (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_18_ "RpCntHs/BlkIn.iLclStoredData_reg[18]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4333           (property INIT (string "1'b0"))
4334         )
4335         (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_19_ "RpCntHs/BlkIn.iLclStoredData_reg[19]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4336           (property INIT (string "1'b0"))
4337         )
4338         (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_1_ "RpCntHs/BlkIn.iLclStoredData_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4339           (property INIT (string "1'b0"))
4340         )
4341         (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_20_ "RpCntHs/BlkIn.iLclStoredData_reg[20]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4342           (property INIT (string "1'b0"))
4343         )
4344         (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_21_ "RpCntHs/BlkIn.iLclStoredData_reg[21]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4345           (property INIT (string "1'b0"))
4346         )
4347         (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_22_ "RpCntHs/BlkIn.iLclStoredData_reg[22]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4348           (property INIT (string "1'b0"))
4349         )
4350         (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_23_ "RpCntHs/BlkIn.iLclStoredData_reg[23]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4351           (property INIT (string "1'b0"))
4352         )
4353         (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_24_ "RpCntHs/BlkIn.iLclStoredData_reg[24]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4354           (property INIT (string "1'b0"))
4355         )
4356         (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_25_ "RpCntHs/BlkIn.iLclStoredData_reg[25]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4357           (property INIT (string "1'b0"))
4358         )
4359         (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_26_ "RpCntHs/BlkIn.iLclStoredData_reg[26]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4360           (property INIT (string "1'b0"))
4361         )
4362         (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_27_ "RpCntHs/BlkIn.iLclStoredData_reg[27]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4363           (property INIT (string "1'b0"))
4364         )
4365         (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_28_ "RpCntHs/BlkIn.iLclStoredData_reg[28]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4366           (property INIT (string "1'b0"))
4367         )
4368         (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_29_ "RpCntHs/BlkIn.iLclStoredData_reg[29]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4369           (property INIT (string "1'b0"))
4370         )
4371         (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_2_ "RpCntHs/BlkIn.iLclStoredData_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4372           (property INIT (string "1'b0"))
4373         )
4374         (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_30_ "RpCntHs/BlkIn.iLclStoredData_reg[30]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4375           (property INIT (string "1'b0"))
4376         )
4377         (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_31_ "RpCntHs/BlkIn.iLclStoredData_reg[31]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4378           (property INIT (string "1'b0"))
4379         )
4380         (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_3_ "RpCntHs/BlkIn.iLclStoredData_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4381           (property INIT (string "1'b0"))
4382         )
4383         (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_4_ "RpCntHs/BlkIn.iLclStoredData_reg[4]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4384           (property INIT (string "1'b0"))
4385         )
4386         (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_5_ "RpCntHs/BlkIn.iLclStoredData_reg[5]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4387           (property INIT (string "1'b0"))
4388         )
4389         (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_6_ "RpCntHs/BlkIn.iLclStoredData_reg[6]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4390           (property INIT (string "1'b0"))
4391         )
4392         (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_7_ "RpCntHs/BlkIn.iLclStoredData_reg[7]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4393           (property INIT (string "1'b0"))
4394         )
4395         (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_8_ "RpCntHs/BlkIn.iLclStoredData_reg[8]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4396           (property INIT (string "1'b0"))
4397         )
4398         (instance (rename RpCntHs_BlkIn_iLclStoredData_reg_9_ "RpCntHs/BlkIn.iLclStoredData_reg[9]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4399           (property INIT (string "1'b0"))
4400         )
4401         (instance (rename RpCntHs_BlkIn_iPushTogglex_Gen0_FDCEx "RpCntHs/BlkIn.iPushTogglex/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4402           (property INIT (string "1'b0"))
4403           (property IS_CLR_INVERTED (string "1'b0"))
4404           (property IS_C_INVERTED (string "1'b0"))
4405           (property IS_D_INVERTED (string "1'b0"))
4406           (property box_type (string "PRIMITIVE"))
4407         )
4408         (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[0].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4409           (property INIT (string "1'b0"))
4410           (property IS_CLR_INVERTED (string "1'b0"))
4411           (property IS_C_INVERTED (string "1'b0"))
4412           (property IS_D_INVERTED (string "1'b0"))
4413           (property box_type (string "PRIMITIVE"))
4414         )
4415         (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[10].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4416           (property INIT (string "1'b0"))
4417           (property IS_CLR_INVERTED (string "1'b0"))
4418           (property IS_C_INVERTED (string "1'b0"))
4419           (property IS_D_INVERTED (string "1'b0"))
4420           (property box_type (string "PRIMITIVE"))
4421         )
4422         (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[11].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4423           (property INIT (string "1'b0"))
4424           (property IS_CLR_INVERTED (string "1'b0"))
4425           (property IS_C_INVERTED (string "1'b0"))
4426           (property IS_D_INVERTED (string "1'b0"))
4427           (property box_type (string "PRIMITIVE"))
4428         )
4429         (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[12].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4430           (property INIT (string "1'b0"))
4431           (property IS_CLR_INVERTED (string "1'b0"))
4432           (property IS_C_INVERTED (string "1'b0"))
4433           (property IS_D_INVERTED (string "1'b0"))
4434           (property box_type (string "PRIMITIVE"))
4435         )
4436         (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[13].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4437           (property INIT (string "1'b0"))
4438           (property IS_CLR_INVERTED (string "1'b0"))
4439           (property IS_C_INVERTED (string "1'b0"))
4440           (property IS_D_INVERTED (string "1'b0"))
4441           (property box_type (string "PRIMITIVE"))
4442         )
4443         (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[14].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4444           (property INIT (string "1'b0"))
4445           (property IS_CLR_INVERTED (string "1'b0"))
4446           (property IS_C_INVERTED (string "1'b0"))
4447           (property IS_D_INVERTED (string "1'b0"))
4448           (property box_type (string "PRIMITIVE"))
4449         )
4450         (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[15].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4451           (property INIT (string "1'b0"))
4452           (property IS_CLR_INVERTED (string "1'b0"))
4453           (property IS_C_INVERTED (string "1'b0"))
4454           (property IS_D_INVERTED (string "1'b0"))
4455           (property box_type (string "PRIMITIVE"))
4456         )
4457         (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[16].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4458           (property INIT (string "1'b0"))
4459           (property IS_CLR_INVERTED (string "1'b0"))
4460           (property IS_C_INVERTED (string "1'b0"))
4461           (property IS_D_INVERTED (string "1'b0"))
4462           (property box_type (string "PRIMITIVE"))
4463         )
4464         (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[17].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4465           (property INIT (string "1'b0"))
4466           (property IS_CLR_INVERTED (string "1'b0"))
4467           (property IS_C_INVERTED (string "1'b0"))
4468           (property IS_D_INVERTED (string "1'b0"))
4469           (property box_type (string "PRIMITIVE"))
4470         )
4471         (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[18].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4472           (property INIT (string "1'b0"))
4473           (property IS_CLR_INVERTED (string "1'b0"))
4474           (property IS_C_INVERTED (string "1'b0"))
4475           (property IS_D_INVERTED (string "1'b0"))
4476           (property box_type (string "PRIMITIVE"))
4477         )
4478         (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[19].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4479           (property INIT (string "1'b0"))
4480           (property IS_CLR_INVERTED (string "1'b0"))
4481           (property IS_C_INVERTED (string "1'b0"))
4482           (property IS_D_INVERTED (string "1'b0"))
4483           (property box_type (string "PRIMITIVE"))
4484         )
4485         (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[1].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4486           (property INIT (string "1'b0"))
4487           (property IS_CLR_INVERTED (string "1'b0"))
4488           (property IS_C_INVERTED (string "1'b0"))
4489           (property IS_D_INVERTED (string "1'b0"))
4490           (property box_type (string "PRIMITIVE"))
4491         )
4492         (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[20].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4493           (property INIT (string "1'b0"))
4494           (property IS_CLR_INVERTED (string "1'b0"))
4495           (property IS_C_INVERTED (string "1'b0"))
4496           (property IS_D_INVERTED (string "1'b0"))
4497           (property box_type (string "PRIMITIVE"))
4498         )
4499         (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[21].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4500           (property INIT (string "1'b0"))
4501           (property IS_CLR_INVERTED (string "1'b0"))
4502           (property IS_C_INVERTED (string "1'b0"))
4503           (property IS_D_INVERTED (string "1'b0"))
4504           (property box_type (string "PRIMITIVE"))
4505         )
4506         (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[22].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4507           (property INIT (string "1'b0"))
4508           (property IS_CLR_INVERTED (string "1'b0"))
4509           (property IS_C_INVERTED (string "1'b0"))
4510           (property IS_D_INVERTED (string "1'b0"))
4511           (property box_type (string "PRIMITIVE"))
4512         )
4513         (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[23].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4514           (property INIT (string "1'b0"))
4515           (property IS_CLR_INVERTED (string "1'b0"))
4516           (property IS_C_INVERTED (string "1'b0"))
4517           (property IS_D_INVERTED (string "1'b0"))
4518           (property box_type (string "PRIMITIVE"))
4519         )
4520         (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[24].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4521           (property INIT (string "1'b0"))
4522           (property IS_CLR_INVERTED (string "1'b0"))
4523           (property IS_C_INVERTED (string "1'b0"))
4524           (property IS_D_INVERTED (string "1'b0"))
4525           (property box_type (string "PRIMITIVE"))
4526         )
4527         (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[25].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4528           (property INIT (string "1'b0"))
4529           (property IS_CLR_INVERTED (string "1'b0"))
4530           (property IS_C_INVERTED (string "1'b0"))
4531           (property IS_D_INVERTED (string "1'b0"))
4532           (property box_type (string "PRIMITIVE"))
4533         )
4534         (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[26].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4535           (property INIT (string "1'b0"))
4536           (property IS_CLR_INVERTED (string "1'b0"))
4537           (property IS_C_INVERTED (string "1'b0"))
4538           (property IS_D_INVERTED (string "1'b0"))
4539           (property box_type (string "PRIMITIVE"))
4540         )
4541         (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[27].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4542           (property INIT (string "1'b0"))
4543           (property IS_CLR_INVERTED (string "1'b0"))
4544           (property IS_C_INVERTED (string "1'b0"))
4545           (property IS_D_INVERTED (string "1'b0"))
4546           (property box_type (string "PRIMITIVE"))
4547         )
4548         (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[28].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4549           (property INIT (string "1'b0"))
4550           (property IS_CLR_INVERTED (string "1'b0"))
4551           (property IS_C_INVERTED (string "1'b0"))
4552           (property IS_D_INVERTED (string "1'b0"))
4553           (property box_type (string "PRIMITIVE"))
4554         )
4555         (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[29].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4556           (property INIT (string "1'b0"))
4557           (property IS_CLR_INVERTED (string "1'b0"))
4558           (property IS_C_INVERTED (string "1'b0"))
4559           (property IS_D_INVERTED (string "1'b0"))
4560           (property box_type (string "PRIMITIVE"))
4561         )
4562         (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[2].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4563           (property INIT (string "1'b0"))
4564           (property IS_CLR_INVERTED (string "1'b0"))
4565           (property IS_C_INVERTED (string "1'b0"))
4566           (property IS_D_INVERTED (string "1'b0"))
4567           (property box_type (string "PRIMITIVE"))
4568         )
4569         (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[30].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4570           (property INIT (string "1'b0"))
4571           (property IS_CLR_INVERTED (string "1'b0"))
4572           (property IS_C_INVERTED (string "1'b0"))
4573           (property IS_D_INVERTED (string "1'b0"))
4574           (property box_type (string "PRIMITIVE"))
4575         )
4576         (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[3].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4577           (property INIT (string "1'b0"))
4578           (property IS_CLR_INVERTED (string "1'b0"))
4579           (property IS_C_INVERTED (string "1'b0"))
4580           (property IS_D_INVERTED (string "1'b0"))
4581           (property box_type (string "PRIMITIVE"))
4582         )
4583         (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[4].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4584           (property INIT (string "1'b0"))
4585           (property IS_CLR_INVERTED (string "1'b0"))
4586           (property IS_C_INVERTED (string "1'b0"))
4587           (property IS_D_INVERTED (string "1'b0"))
4588           (property box_type (string "PRIMITIVE"))
4589         )
4590         (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[5].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4591           (property INIT (string "1'b0"))
4592           (property IS_CLR_INVERTED (string "1'b0"))
4593           (property IS_C_INVERTED (string "1'b0"))
4594           (property IS_D_INVERTED (string "1'b0"))
4595           (property box_type (string "PRIMITIVE"))
4596         )
4597         (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[6].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4598           (property INIT (string "1'b0"))
4599           (property IS_CLR_INVERTED (string "1'b0"))
4600           (property IS_C_INVERTED (string "1'b0"))
4601           (property IS_D_INVERTED (string "1'b0"))
4602           (property box_type (string "PRIMITIVE"))
4603         )
4604         (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[7].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4605           (property INIT (string "1'b0"))
4606           (property IS_CLR_INVERTED (string "1'b0"))
4607           (property IS_C_INVERTED (string "1'b0"))
4608           (property IS_D_INVERTED (string "1'b0"))
4609           (property box_type (string "PRIMITIVE"))
4610         )
4611         (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[8].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4612           (property INIT (string "1'b0"))
4613           (property IS_CLR_INVERTED (string "1'b0"))
4614           (property IS_C_INVERTED (string "1'b0"))
4615           (property IS_D_INVERTED (string "1'b0"))
4616           (property box_type (string "PRIMITIVE"))
4617         )
4618         (instance (rename RpCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx "RpCntHs/BlkOut.ODataFlop/GenFlops[9].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4619           (property INIT (string "1'b0"))
4620           (property IS_CLR_INVERTED (string "1'b0"))
4621           (property IS_C_INVERTED (string "1'b0"))
4622           (property IS_D_INVERTED (string "1'b0"))
4623           (property box_type (string "PRIMITIVE"))
4624         )
4625         (instance (rename RpCntHs_BlkOut_oDataValid_reg "RpCntHs/BlkOut.oDataValid_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4626           (property INIT (string "1'b0"))
4627         )
4628         (instance (rename RpCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx "RpCntHs/BlkOut.oPushToggle1x/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4629           (property INIT (string "1'b0"))
4630           (property IS_CLR_INVERTED (string "1'b0"))
4631           (property IS_C_INVERTED (string "1'b0"))
4632           (property IS_D_INVERTED (string "1'b0"))
4633           (property ASYNC_REG (boolean (true)))
4634           (property box_type (string "PRIMITIVE"))
4635         )
4636         (instance (rename RpCntHs_BlkOut_oPushToggle2_reg "RpCntHs/BlkOut.oPushToggle2_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4637           (property INIT (string "1'b0"))
4638         )
4639         (instance (rename RpCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx "RpCntHs/BlkOut.oPushToggle_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4640           (property INIT (string "1'b0"))
4641           (property IS_CLR_INVERTED (string "1'b0"))
4642           (property IS_C_INVERTED (string "1'b0"))
4643           (property IS_D_INVERTED (string "1'b0"))
4644           (property ASYNC_REG (boolean (true)))
4645           (property box_type (string "PRIMITIVE"))
4646         )
4647         (instance (rename RpCntReadbackDsGen_0__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[0].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4648           (property INIT (string "1'b0"))
4649           (property IS_CLR_INVERTED (string "1'b0"))
4650           (property IS_C_INVERTED (string "1'b0"))
4651           (property IS_D_INVERTED (string "1'b0"))
4652           (property ASYNC_REG (boolean (true)))
4653           (property box_type (string "PRIMITIVE"))
4654         )
4655         (instance (rename RpCntReadbackDsGen_0__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[0].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4656           (property INIT (string "1'b0"))
4657           (property IS_CLR_INVERTED (string "1'b0"))
4658           (property IS_C_INVERTED (string "1'b0"))
4659           (property IS_D_INVERTED (string "1'b0"))
4660           (property ASYNC_REG (boolean (true)))
4661           (property box_type (string "PRIMITIVE"))
4662         )
4663         (instance (rename RpCntReadbackDsGen_10__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[10].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4664           (property INIT (string "1'b0"))
4665           (property IS_CLR_INVERTED (string "1'b0"))
4666           (property IS_C_INVERTED (string "1'b0"))
4667           (property IS_D_INVERTED (string "1'b0"))
4668           (property ASYNC_REG (boolean (true)))
4669           (property box_type (string "PRIMITIVE"))
4670         )
4671         (instance (rename RpCntReadbackDsGen_10__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[10].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4672           (property INIT (string "1'b0"))
4673           (property IS_CLR_INVERTED (string "1'b0"))
4674           (property IS_C_INVERTED (string "1'b0"))
4675           (property IS_D_INVERTED (string "1'b0"))
4676           (property ASYNC_REG (boolean (true)))
4677           (property box_type (string "PRIMITIVE"))
4678         )
4679         (instance (rename RpCntReadbackDsGen_11__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[11].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4680           (property INIT (string "1'b0"))
4681           (property IS_CLR_INVERTED (string "1'b0"))
4682           (property IS_C_INVERTED (string "1'b0"))
4683           (property IS_D_INVERTED (string "1'b0"))
4684           (property ASYNC_REG (boolean (true)))
4685           (property box_type (string "PRIMITIVE"))
4686         )
4687         (instance (rename RpCntReadbackDsGen_11__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[11].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4688           (property INIT (string "1'b0"))
4689           (property IS_CLR_INVERTED (string "1'b0"))
4690           (property IS_C_INVERTED (string "1'b0"))
4691           (property IS_D_INVERTED (string "1'b0"))
4692           (property ASYNC_REG (boolean (true)))
4693           (property box_type (string "PRIMITIVE"))
4694         )
4695         (instance (rename RpCntReadbackDsGen_12__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[12].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4696           (property INIT (string "1'b0"))
4697           (property IS_CLR_INVERTED (string "1'b0"))
4698           (property IS_C_INVERTED (string "1'b0"))
4699           (property IS_D_INVERTED (string "1'b0"))
4700           (property ASYNC_REG (boolean (true)))
4701           (property box_type (string "PRIMITIVE"))
4702         )
4703         (instance (rename RpCntReadbackDsGen_12__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[12].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4704           (property INIT (string "1'b0"))
4705           (property IS_CLR_INVERTED (string "1'b0"))
4706           (property IS_C_INVERTED (string "1'b0"))
4707           (property IS_D_INVERTED (string "1'b0"))
4708           (property ASYNC_REG (boolean (true)))
4709           (property box_type (string "PRIMITIVE"))
4710         )
4711         (instance (rename RpCntReadbackDsGen_13__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[13].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4712           (property INIT (string "1'b0"))
4713           (property IS_CLR_INVERTED (string "1'b0"))
4714           (property IS_C_INVERTED (string "1'b0"))
4715           (property IS_D_INVERTED (string "1'b0"))
4716           (property ASYNC_REG (boolean (true)))
4717           (property box_type (string "PRIMITIVE"))
4718         )
4719         (instance (rename RpCntReadbackDsGen_13__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[13].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4720           (property INIT (string "1'b0"))
4721           (property IS_CLR_INVERTED (string "1'b0"))
4722           (property IS_C_INVERTED (string "1'b0"))
4723           (property IS_D_INVERTED (string "1'b0"))
4724           (property ASYNC_REG (boolean (true)))
4725           (property box_type (string "PRIMITIVE"))
4726         )
4727         (instance (rename RpCntReadbackDsGen_14__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[14].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4728           (property INIT (string "1'b0"))
4729           (property IS_CLR_INVERTED (string "1'b0"))
4730           (property IS_C_INVERTED (string "1'b0"))
4731           (property IS_D_INVERTED (string "1'b0"))
4732           (property ASYNC_REG (boolean (true)))
4733           (property box_type (string "PRIMITIVE"))
4734         )
4735         (instance (rename RpCntReadbackDsGen_14__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[14].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4736           (property INIT (string "1'b0"))
4737           (property IS_CLR_INVERTED (string "1'b0"))
4738           (property IS_C_INVERTED (string "1'b0"))
4739           (property IS_D_INVERTED (string "1'b0"))
4740           (property ASYNC_REG (boolean (true)))
4741           (property box_type (string "PRIMITIVE"))
4742         )
4743         (instance (rename RpCntReadbackDsGen_15__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[15].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4744           (property INIT (string "1'b0"))
4745           (property IS_CLR_INVERTED (string "1'b0"))
4746           (property IS_C_INVERTED (string "1'b0"))
4747           (property IS_D_INVERTED (string "1'b0"))
4748           (property ASYNC_REG (boolean (true)))
4749           (property box_type (string "PRIMITIVE"))
4750         )
4751         (instance (rename RpCntReadbackDsGen_15__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[15].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4752           (property INIT (string "1'b0"))
4753           (property IS_CLR_INVERTED (string "1'b0"))
4754           (property IS_C_INVERTED (string "1'b0"))
4755           (property IS_D_INVERTED (string "1'b0"))
4756           (property ASYNC_REG (boolean (true)))
4757           (property box_type (string "PRIMITIVE"))
4758         )
4759         (instance (rename RpCntReadbackDsGen_16__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[16].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4760           (property INIT (string "1'b0"))
4761           (property IS_CLR_INVERTED (string "1'b0"))
4762           (property IS_C_INVERTED (string "1'b0"))
4763           (property IS_D_INVERTED (string "1'b0"))
4764           (property ASYNC_REG (boolean (true)))
4765           (property box_type (string "PRIMITIVE"))
4766         )
4767         (instance (rename RpCntReadbackDsGen_16__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[16].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4768           (property INIT (string "1'b0"))
4769           (property IS_CLR_INVERTED (string "1'b0"))
4770           (property IS_C_INVERTED (string "1'b0"))
4771           (property IS_D_INVERTED (string "1'b0"))
4772           (property ASYNC_REG (boolean (true)))
4773           (property box_type (string "PRIMITIVE"))
4774         )
4775         (instance (rename RpCntReadbackDsGen_17__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[17].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4776           (property INIT (string "1'b0"))
4777           (property IS_CLR_INVERTED (string "1'b0"))
4778           (property IS_C_INVERTED (string "1'b0"))
4779           (property IS_D_INVERTED (string "1'b0"))
4780           (property ASYNC_REG (boolean (true)))
4781           (property box_type (string "PRIMITIVE"))
4782         )
4783         (instance (rename RpCntReadbackDsGen_17__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[17].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4784           (property INIT (string "1'b0"))
4785           (property IS_CLR_INVERTED (string "1'b0"))
4786           (property IS_C_INVERTED (string "1'b0"))
4787           (property IS_D_INVERTED (string "1'b0"))
4788           (property ASYNC_REG (boolean (true)))
4789           (property box_type (string "PRIMITIVE"))
4790         )
4791         (instance (rename RpCntReadbackDsGen_18__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[18].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4792           (property INIT (string "1'b0"))
4793           (property IS_CLR_INVERTED (string "1'b0"))
4794           (property IS_C_INVERTED (string "1'b0"))
4795           (property IS_D_INVERTED (string "1'b0"))
4796           (property ASYNC_REG (boolean (true)))
4797           (property box_type (string "PRIMITIVE"))
4798         )
4799         (instance (rename RpCntReadbackDsGen_18__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[18].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4800           (property INIT (string "1'b0"))
4801           (property IS_CLR_INVERTED (string "1'b0"))
4802           (property IS_C_INVERTED (string "1'b0"))
4803           (property IS_D_INVERTED (string "1'b0"))
4804           (property ASYNC_REG (boolean (true)))
4805           (property box_type (string "PRIMITIVE"))
4806         )
4807         (instance (rename RpCntReadbackDsGen_19__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[19].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4808           (property INIT (string "1'b0"))
4809           (property IS_CLR_INVERTED (string "1'b0"))
4810           (property IS_C_INVERTED (string "1'b0"))
4811           (property IS_D_INVERTED (string "1'b0"))
4812           (property ASYNC_REG (boolean (true)))
4813           (property box_type (string "PRIMITIVE"))
4814         )
4815         (instance (rename RpCntReadbackDsGen_19__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[19].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4816           (property INIT (string "1'b0"))
4817           (property IS_CLR_INVERTED (string "1'b0"))
4818           (property IS_C_INVERTED (string "1'b0"))
4819           (property IS_D_INVERTED (string "1'b0"))
4820           (property ASYNC_REG (boolean (true)))
4821           (property box_type (string "PRIMITIVE"))
4822         )
4823         (instance (rename RpCntReadbackDsGen_1__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[1].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4824           (property INIT (string "1'b0"))
4825           (property IS_CLR_INVERTED (string "1'b0"))
4826           (property IS_C_INVERTED (string "1'b0"))
4827           (property IS_D_INVERTED (string "1'b0"))
4828           (property ASYNC_REG (boolean (true)))
4829           (property box_type (string "PRIMITIVE"))
4830         )
4831         (instance (rename RpCntReadbackDsGen_1__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[1].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4832           (property INIT (string "1'b0"))
4833           (property IS_CLR_INVERTED (string "1'b0"))
4834           (property IS_C_INVERTED (string "1'b0"))
4835           (property IS_D_INVERTED (string "1'b0"))
4836           (property ASYNC_REG (boolean (true)))
4837           (property box_type (string "PRIMITIVE"))
4838         )
4839         (instance (rename RpCntReadbackDsGen_20__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[20].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4840           (property INIT (string "1'b0"))
4841           (property IS_CLR_INVERTED (string "1'b0"))
4842           (property IS_C_INVERTED (string "1'b0"))
4843           (property IS_D_INVERTED (string "1'b0"))
4844           (property ASYNC_REG (boolean (true)))
4845           (property box_type (string "PRIMITIVE"))
4846         )
4847         (instance (rename RpCntReadbackDsGen_20__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[20].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4848           (property INIT (string "1'b0"))
4849           (property IS_CLR_INVERTED (string "1'b0"))
4850           (property IS_C_INVERTED (string "1'b0"))
4851           (property IS_D_INVERTED (string "1'b0"))
4852           (property ASYNC_REG (boolean (true)))
4853           (property box_type (string "PRIMITIVE"))
4854         )
4855         (instance (rename RpCntReadbackDsGen_21__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[21].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4856           (property INIT (string "1'b0"))
4857           (property IS_CLR_INVERTED (string "1'b0"))
4858           (property IS_C_INVERTED (string "1'b0"))
4859           (property IS_D_INVERTED (string "1'b0"))
4860           (property ASYNC_REG (boolean (true)))
4861           (property box_type (string "PRIMITIVE"))
4862         )
4863         (instance (rename RpCntReadbackDsGen_21__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[21].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4864           (property INIT (string "1'b0"))
4865           (property IS_CLR_INVERTED (string "1'b0"))
4866           (property IS_C_INVERTED (string "1'b0"))
4867           (property IS_D_INVERTED (string "1'b0"))
4868           (property ASYNC_REG (boolean (true)))
4869           (property box_type (string "PRIMITIVE"))
4870         )
4871         (instance (rename RpCntReadbackDsGen_22__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[22].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4872           (property INIT (string "1'b0"))
4873           (property IS_CLR_INVERTED (string "1'b0"))
4874           (property IS_C_INVERTED (string "1'b0"))
4875           (property IS_D_INVERTED (string "1'b0"))
4876           (property ASYNC_REG (boolean (true)))
4877           (property box_type (string "PRIMITIVE"))
4878         )
4879         (instance (rename RpCntReadbackDsGen_22__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[22].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4880           (property INIT (string "1'b0"))
4881           (property IS_CLR_INVERTED (string "1'b0"))
4882           (property IS_C_INVERTED (string "1'b0"))
4883           (property IS_D_INVERTED (string "1'b0"))
4884           (property ASYNC_REG (boolean (true)))
4885           (property box_type (string "PRIMITIVE"))
4886         )
4887         (instance (rename RpCntReadbackDsGen_23__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[23].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4888           (property INIT (string "1'b0"))
4889           (property IS_CLR_INVERTED (string "1'b0"))
4890           (property IS_C_INVERTED (string "1'b0"))
4891           (property IS_D_INVERTED (string "1'b0"))
4892           (property ASYNC_REG (boolean (true)))
4893           (property box_type (string "PRIMITIVE"))
4894         )
4895         (instance (rename RpCntReadbackDsGen_23__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[23].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4896           (property INIT (string "1'b0"))
4897           (property IS_CLR_INVERTED (string "1'b0"))
4898           (property IS_C_INVERTED (string "1'b0"))
4899           (property IS_D_INVERTED (string "1'b0"))
4900           (property ASYNC_REG (boolean (true)))
4901           (property box_type (string "PRIMITIVE"))
4902         )
4903         (instance (rename RpCntReadbackDsGen_24__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[24].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4904           (property INIT (string "1'b0"))
4905           (property IS_CLR_INVERTED (string "1'b0"))
4906           (property IS_C_INVERTED (string "1'b0"))
4907           (property IS_D_INVERTED (string "1'b0"))
4908           (property ASYNC_REG (boolean (true)))
4909           (property box_type (string "PRIMITIVE"))
4910         )
4911         (instance (rename RpCntReadbackDsGen_24__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[24].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4912           (property INIT (string "1'b0"))
4913           (property IS_CLR_INVERTED (string "1'b0"))
4914           (property IS_C_INVERTED (string "1'b0"))
4915           (property IS_D_INVERTED (string "1'b0"))
4916           (property ASYNC_REG (boolean (true)))
4917           (property box_type (string "PRIMITIVE"))
4918         )
4919         (instance (rename RpCntReadbackDsGen_25__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[25].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4920           (property INIT (string "1'b0"))
4921           (property IS_CLR_INVERTED (string "1'b0"))
4922           (property IS_C_INVERTED (string "1'b0"))
4923           (property IS_D_INVERTED (string "1'b0"))
4924           (property ASYNC_REG (boolean (true)))
4925           (property box_type (string "PRIMITIVE"))
4926         )
4927         (instance (rename RpCntReadbackDsGen_25__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[25].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4928           (property INIT (string "1'b0"))
4929           (property IS_CLR_INVERTED (string "1'b0"))
4930           (property IS_C_INVERTED (string "1'b0"))
4931           (property IS_D_INVERTED (string "1'b0"))
4932           (property ASYNC_REG (boolean (true)))
4933           (property box_type (string "PRIMITIVE"))
4934         )
4935         (instance (rename RpCntReadbackDsGen_26__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[26].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4936           (property INIT (string "1'b0"))
4937           (property IS_CLR_INVERTED (string "1'b0"))
4938           (property IS_C_INVERTED (string "1'b0"))
4939           (property IS_D_INVERTED (string "1'b0"))
4940           (property ASYNC_REG (boolean (true)))
4941           (property box_type (string "PRIMITIVE"))
4942         )
4943         (instance (rename RpCntReadbackDsGen_26__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[26].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4944           (property INIT (string "1'b0"))
4945           (property IS_CLR_INVERTED (string "1'b0"))
4946           (property IS_C_INVERTED (string "1'b0"))
4947           (property IS_D_INVERTED (string "1'b0"))
4948           (property ASYNC_REG (boolean (true)))
4949           (property box_type (string "PRIMITIVE"))
4950         )
4951         (instance (rename RpCntReadbackDsGen_27__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[27].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4952           (property INIT (string "1'b0"))
4953           (property IS_CLR_INVERTED (string "1'b0"))
4954           (property IS_C_INVERTED (string "1'b0"))
4955           (property IS_D_INVERTED (string "1'b0"))
4956           (property ASYNC_REG (boolean (true)))
4957           (property box_type (string "PRIMITIVE"))
4958         )
4959         (instance (rename RpCntReadbackDsGen_27__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[27].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4960           (property INIT (string "1'b0"))
4961           (property IS_CLR_INVERTED (string "1'b0"))
4962           (property IS_C_INVERTED (string "1'b0"))
4963           (property IS_D_INVERTED (string "1'b0"))
4964           (property ASYNC_REG (boolean (true)))
4965           (property box_type (string "PRIMITIVE"))
4966         )
4967         (instance (rename RpCntReadbackDsGen_28__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[28].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4968           (property INIT (string "1'b0"))
4969           (property IS_CLR_INVERTED (string "1'b0"))
4970           (property IS_C_INVERTED (string "1'b0"))
4971           (property IS_D_INVERTED (string "1'b0"))
4972           (property ASYNC_REG (boolean (true)))
4973           (property box_type (string "PRIMITIVE"))
4974         )
4975         (instance (rename RpCntReadbackDsGen_28__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[28].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4976           (property INIT (string "1'b0"))
4977           (property IS_CLR_INVERTED (string "1'b0"))
4978           (property IS_C_INVERTED (string "1'b0"))
4979           (property IS_D_INVERTED (string "1'b0"))
4980           (property ASYNC_REG (boolean (true)))
4981           (property box_type (string "PRIMITIVE"))
4982         )
4983         (instance (rename RpCntReadbackDsGen_29__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[29].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4984           (property INIT (string "1'b0"))
4985           (property IS_CLR_INVERTED (string "1'b0"))
4986           (property IS_C_INVERTED (string "1'b0"))
4987           (property IS_D_INVERTED (string "1'b0"))
4988           (property ASYNC_REG (boolean (true)))
4989           (property box_type (string "PRIMITIVE"))
4990         )
4991         (instance (rename RpCntReadbackDsGen_29__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[29].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
4992           (property INIT (string "1'b0"))
4993           (property IS_CLR_INVERTED (string "1'b0"))
4994           (property IS_C_INVERTED (string "1'b0"))
4995           (property IS_D_INVERTED (string "1'b0"))
4996           (property ASYNC_REG (boolean (true)))
4997           (property box_type (string "PRIMITIVE"))
4998         )
4999         (instance (rename RpCntReadbackDsGen_2__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[2].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5000           (property INIT (string "1'b0"))
5001           (property IS_CLR_INVERTED (string "1'b0"))
5002           (property IS_C_INVERTED (string "1'b0"))
5003           (property IS_D_INVERTED (string "1'b0"))
5004           (property ASYNC_REG (boolean (true)))
5005           (property box_type (string "PRIMITIVE"))
5006         )
5007         (instance (rename RpCntReadbackDsGen_2__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[2].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5008           (property INIT (string "1'b0"))
5009           (property IS_CLR_INVERTED (string "1'b0"))
5010           (property IS_C_INVERTED (string "1'b0"))
5011           (property IS_D_INVERTED (string "1'b0"))
5012           (property ASYNC_REG (boolean (true)))
5013           (property box_type (string "PRIMITIVE"))
5014         )
5015         (instance (rename RpCntReadbackDsGen_30__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[30].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5016           (property INIT (string "1'b0"))
5017           (property IS_CLR_INVERTED (string "1'b0"))
5018           (property IS_C_INVERTED (string "1'b0"))
5019           (property IS_D_INVERTED (string "1'b0"))
5020           (property ASYNC_REG (boolean (true)))
5021           (property box_type (string "PRIMITIVE"))
5022         )
5023         (instance (rename RpCntReadbackDsGen_30__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[30].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5024           (property INIT (string "1'b0"))
5025           (property IS_CLR_INVERTED (string "1'b0"))
5026           (property IS_C_INVERTED (string "1'b0"))
5027           (property IS_D_INVERTED (string "1'b0"))
5028           (property ASYNC_REG (boolean (true)))
5029           (property box_type (string "PRIMITIVE"))
5030         )
5031         (instance (rename RpCntReadbackDsGen_31__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[31].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5032           (property INIT (string "1'b0"))
5033           (property IS_CLR_INVERTED (string "1'b0"))
5034           (property IS_C_INVERTED (string "1'b0"))
5035           (property IS_D_INVERTED (string "1'b0"))
5036           (property ASYNC_REG (boolean (true)))
5037           (property box_type (string "PRIMITIVE"))
5038         )
5039         (instance (rename RpCntReadbackDsGen_31__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[31].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5040           (property INIT (string "1'b0"))
5041           (property IS_CLR_INVERTED (string "1'b0"))
5042           (property IS_C_INVERTED (string "1'b0"))
5043           (property IS_D_INVERTED (string "1'b0"))
5044           (property ASYNC_REG (boolean (true)))
5045           (property box_type (string "PRIMITIVE"))
5046         )
5047         (instance (rename RpCntReadbackDsGen_3__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[3].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5048           (property INIT (string "1'b0"))
5049           (property IS_CLR_INVERTED (string "1'b0"))
5050           (property IS_C_INVERTED (string "1'b0"))
5051           (property IS_D_INVERTED (string "1'b0"))
5052           (property ASYNC_REG (boolean (true)))
5053           (property box_type (string "PRIMITIVE"))
5054         )
5055         (instance (rename RpCntReadbackDsGen_3__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[3].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5056           (property INIT (string "1'b0"))
5057           (property IS_CLR_INVERTED (string "1'b0"))
5058           (property IS_C_INVERTED (string "1'b0"))
5059           (property IS_D_INVERTED (string "1'b0"))
5060           (property ASYNC_REG (boolean (true)))
5061           (property box_type (string "PRIMITIVE"))
5062         )
5063         (instance (rename RpCntReadbackDsGen_4__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[4].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5064           (property INIT (string "1'b0"))
5065           (property IS_CLR_INVERTED (string "1'b0"))
5066           (property IS_C_INVERTED (string "1'b0"))
5067           (property IS_D_INVERTED (string "1'b0"))
5068           (property ASYNC_REG (boolean (true)))
5069           (property box_type (string "PRIMITIVE"))
5070         )
5071         (instance (rename RpCntReadbackDsGen_4__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[4].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5072           (property INIT (string "1'b0"))
5073           (property IS_CLR_INVERTED (string "1'b0"))
5074           (property IS_C_INVERTED (string "1'b0"))
5075           (property IS_D_INVERTED (string "1'b0"))
5076           (property ASYNC_REG (boolean (true)))
5077           (property box_type (string "PRIMITIVE"))
5078         )
5079         (instance (rename RpCntReadbackDsGen_5__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[5].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5080           (property INIT (string "1'b0"))
5081           (property IS_CLR_INVERTED (string "1'b0"))
5082           (property IS_C_INVERTED (string "1'b0"))
5083           (property IS_D_INVERTED (string "1'b0"))
5084           (property ASYNC_REG (boolean (true)))
5085           (property box_type (string "PRIMITIVE"))
5086         )
5087         (instance (rename RpCntReadbackDsGen_5__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[5].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5088           (property INIT (string "1'b0"))
5089           (property IS_CLR_INVERTED (string "1'b0"))
5090           (property IS_C_INVERTED (string "1'b0"))
5091           (property IS_D_INVERTED (string "1'b0"))
5092           (property ASYNC_REG (boolean (true)))
5093           (property box_type (string "PRIMITIVE"))
5094         )
5095         (instance (rename RpCntReadbackDsGen_6__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[6].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5096           (property INIT (string "1'b0"))
5097           (property IS_CLR_INVERTED (string "1'b0"))
5098           (property IS_C_INVERTED (string "1'b0"))
5099           (property IS_D_INVERTED (string "1'b0"))
5100           (property ASYNC_REG (boolean (true)))
5101           (property box_type (string "PRIMITIVE"))
5102         )
5103         (instance (rename RpCntReadbackDsGen_6__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[6].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5104           (property INIT (string "1'b0"))
5105           (property IS_CLR_INVERTED (string "1'b0"))
5106           (property IS_C_INVERTED (string "1'b0"))
5107           (property IS_D_INVERTED (string "1'b0"))
5108           (property ASYNC_REG (boolean (true)))
5109           (property box_type (string "PRIMITIVE"))
5110         )
5111         (instance (rename RpCntReadbackDsGen_7__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[7].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5112           (property INIT (string "1'b0"))
5113           (property IS_CLR_INVERTED (string "1'b0"))
5114           (property IS_C_INVERTED (string "1'b0"))
5115           (property IS_D_INVERTED (string "1'b0"))
5116           (property ASYNC_REG (boolean (true)))
5117           (property box_type (string "PRIMITIVE"))
5118         )
5119         (instance (rename RpCntReadbackDsGen_7__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[7].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5120           (property INIT (string "1'b0"))
5121           (property IS_CLR_INVERTED (string "1'b0"))
5122           (property IS_C_INVERTED (string "1'b0"))
5123           (property IS_D_INVERTED (string "1'b0"))
5124           (property ASYNC_REG (boolean (true)))
5125           (property box_type (string "PRIMITIVE"))
5126         )
5127         (instance (rename RpCntReadbackDsGen_8__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[8].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5128           (property INIT (string "1'b0"))
5129           (property IS_CLR_INVERTED (string "1'b0"))
5130           (property IS_C_INVERTED (string "1'b0"))
5131           (property IS_D_INVERTED (string "1'b0"))
5132           (property ASYNC_REG (boolean (true)))
5133           (property box_type (string "PRIMITIVE"))
5134         )
5135         (instance (rename RpCntReadbackDsGen_8__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[8].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5136           (property INIT (string "1'b0"))
5137           (property IS_CLR_INVERTED (string "1'b0"))
5138           (property IS_C_INVERTED (string "1'b0"))
5139           (property IS_D_INVERTED (string "1'b0"))
5140           (property ASYNC_REG (boolean (true)))
5141           (property box_type (string "PRIMITIVE"))
5142         )
5143         (instance (rename RpCntReadbackDsGen_9__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RpCntReadbackDsGen[9].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5144           (property INIT (string "1'b0"))
5145           (property IS_CLR_INVERTED (string "1'b0"))
5146           (property IS_C_INVERTED (string "1'b0"))
5147           (property IS_D_INVERTED (string "1'b0"))
5148           (property ASYNC_REG (boolean (true)))
5149           (property box_type (string "PRIMITIVE"))
5150         )
5151         (instance (rename RpCntReadbackDsGen_9__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RpCntReadbackDsGen[9].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5152           (property INIT (string "1'b0"))
5153           (property IS_CLR_INVERTED (string "1'b0"))
5154           (property IS_C_INVERTED (string "1'b0"))
5155           (property IS_D_INVERTED (string "1'b0"))
5156           (property ASYNC_REG (boolean (true)))
5157           (property box_type (string "PRIMITIVE"))
5158         )
5159         (instance (rename RptCntHs_BlkIn_iDlyPush_reg "RptCntHs/BlkIn.iDlyPush_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5160           (property INIT (string "1'b0"))
5161         )
5162         (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_0_ "RptCntHs/BlkIn.iLclStoredData_reg[0]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5163           (property INIT (string "1'b0"))
5164         )
5165         (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_10_ "RptCntHs/BlkIn.iLclStoredData_reg[10]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5166           (property INIT (string "1'b0"))
5167         )
5168         (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_11_ "RptCntHs/BlkIn.iLclStoredData_reg[11]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5169           (property INIT (string "1'b0"))
5170         )
5171         (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_12_ "RptCntHs/BlkIn.iLclStoredData_reg[12]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5172           (property INIT (string "1'b0"))
5173         )
5174         (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_13_ "RptCntHs/BlkIn.iLclStoredData_reg[13]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5175           (property INIT (string "1'b0"))
5176         )
5177         (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_14_ "RptCntHs/BlkIn.iLclStoredData_reg[14]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5178           (property INIT (string "1'b0"))
5179         )
5180         (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_15_ "RptCntHs/BlkIn.iLclStoredData_reg[15]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5181           (property INIT (string "1'b0"))
5182         )
5183         (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_16_ "RptCntHs/BlkIn.iLclStoredData_reg[16]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5184           (property INIT (string "1'b0"))
5185         )
5186         (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_17_ "RptCntHs/BlkIn.iLclStoredData_reg[17]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5187           (property INIT (string "1'b0"))
5188         )
5189         (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_18_ "RptCntHs/BlkIn.iLclStoredData_reg[18]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5190           (property INIT (string "1'b0"))
5191         )
5192         (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_19_ "RptCntHs/BlkIn.iLclStoredData_reg[19]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5193           (property INIT (string "1'b0"))
5194         )
5195         (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_1_ "RptCntHs/BlkIn.iLclStoredData_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5196           (property INIT (string "1'b0"))
5197         )
5198         (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_20_ "RptCntHs/BlkIn.iLclStoredData_reg[20]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5199           (property INIT (string "1'b0"))
5200         )
5201         (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_21_ "RptCntHs/BlkIn.iLclStoredData_reg[21]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5202           (property INIT (string "1'b0"))
5203         )
5204         (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_22_ "RptCntHs/BlkIn.iLclStoredData_reg[22]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5205           (property INIT (string "1'b0"))
5206         )
5207         (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_23_ "RptCntHs/BlkIn.iLclStoredData_reg[23]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5208           (property INIT (string "1'b0"))
5209         )
5210         (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_24_ "RptCntHs/BlkIn.iLclStoredData_reg[24]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5211           (property INIT (string "1'b0"))
5212         )
5213         (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_25_ "RptCntHs/BlkIn.iLclStoredData_reg[25]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5214           (property INIT (string "1'b0"))
5215         )
5216         (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_26_ "RptCntHs/BlkIn.iLclStoredData_reg[26]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5217           (property INIT (string "1'b0"))
5218         )
5219         (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_27_ "RptCntHs/BlkIn.iLclStoredData_reg[27]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5220           (property INIT (string "1'b0"))
5221         )
5222         (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_28_ "RptCntHs/BlkIn.iLclStoredData_reg[28]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5223           (property INIT (string "1'b0"))
5224         )
5225         (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_29_ "RptCntHs/BlkIn.iLclStoredData_reg[29]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5226           (property INIT (string "1'b0"))
5227         )
5228         (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_2_ "RptCntHs/BlkIn.iLclStoredData_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5229           (property INIT (string "1'b0"))
5230         )
5231         (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_30_ "RptCntHs/BlkIn.iLclStoredData_reg[30]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5232           (property INIT (string "1'b0"))
5233         )
5234         (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_31_ "RptCntHs/BlkIn.iLclStoredData_reg[31]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5235           (property INIT (string "1'b0"))
5236         )
5237         (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_3_ "RptCntHs/BlkIn.iLclStoredData_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5238           (property INIT (string "1'b0"))
5239         )
5240         (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_4_ "RptCntHs/BlkIn.iLclStoredData_reg[4]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5241           (property INIT (string "1'b0"))
5242         )
5243         (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_5_ "RptCntHs/BlkIn.iLclStoredData_reg[5]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5244           (property INIT (string "1'b0"))
5245         )
5246         (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_6_ "RptCntHs/BlkIn.iLclStoredData_reg[6]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5247           (property INIT (string "1'b0"))
5248         )
5249         (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_7_ "RptCntHs/BlkIn.iLclStoredData_reg[7]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5250           (property INIT (string "1'b0"))
5251         )
5252         (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_8_ "RptCntHs/BlkIn.iLclStoredData_reg[8]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5253           (property INIT (string "1'b0"))
5254         )
5255         (instance (rename RptCntHs_BlkIn_iLclStoredData_reg_9_ "RptCntHs/BlkIn.iLclStoredData_reg[9]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5256           (property INIT (string "1'b0"))
5257         )
5258         (instance (rename RptCntHs_BlkIn_iPushTogglex_Gen0_FDCEx "RptCntHs/BlkIn.iPushTogglex/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5259           (property INIT (string "1'b0"))
5260           (property IS_CLR_INVERTED (string "1'b0"))
5261           (property IS_C_INVERTED (string "1'b0"))
5262           (property IS_D_INVERTED (string "1'b0"))
5263           (property box_type (string "PRIMITIVE"))
5264         )
5265         (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[0].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5266           (property INIT (string "1'b0"))
5267           (property IS_CLR_INVERTED (string "1'b0"))
5268           (property IS_C_INVERTED (string "1'b0"))
5269           (property IS_D_INVERTED (string "1'b0"))
5270           (property box_type (string "PRIMITIVE"))
5271         )
5272         (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[10].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5273           (property INIT (string "1'b0"))
5274           (property IS_CLR_INVERTED (string "1'b0"))
5275           (property IS_C_INVERTED (string "1'b0"))
5276           (property IS_D_INVERTED (string "1'b0"))
5277           (property box_type (string "PRIMITIVE"))
5278         )
5279         (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[11].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5280           (property INIT (string "1'b0"))
5281           (property IS_CLR_INVERTED (string "1'b0"))
5282           (property IS_C_INVERTED (string "1'b0"))
5283           (property IS_D_INVERTED (string "1'b0"))
5284           (property box_type (string "PRIMITIVE"))
5285         )
5286         (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[12].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5287           (property INIT (string "1'b0"))
5288           (property IS_CLR_INVERTED (string "1'b0"))
5289           (property IS_C_INVERTED (string "1'b0"))
5290           (property IS_D_INVERTED (string "1'b0"))
5291           (property box_type (string "PRIMITIVE"))
5292         )
5293         (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[13].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5294           (property INIT (string "1'b0"))
5295           (property IS_CLR_INVERTED (string "1'b0"))
5296           (property IS_C_INVERTED (string "1'b0"))
5297           (property IS_D_INVERTED (string "1'b0"))
5298           (property box_type (string "PRIMITIVE"))
5299         )
5300         (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[14].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5301           (property INIT (string "1'b0"))
5302           (property IS_CLR_INVERTED (string "1'b0"))
5303           (property IS_C_INVERTED (string "1'b0"))
5304           (property IS_D_INVERTED (string "1'b0"))
5305           (property box_type (string "PRIMITIVE"))
5306         )
5307         (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[15].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5308           (property INIT (string "1'b0"))
5309           (property IS_CLR_INVERTED (string "1'b0"))
5310           (property IS_C_INVERTED (string "1'b0"))
5311           (property IS_D_INVERTED (string "1'b0"))
5312           (property box_type (string "PRIMITIVE"))
5313         )
5314         (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[16].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5315           (property INIT (string "1'b0"))
5316           (property IS_CLR_INVERTED (string "1'b0"))
5317           (property IS_C_INVERTED (string "1'b0"))
5318           (property IS_D_INVERTED (string "1'b0"))
5319           (property box_type (string "PRIMITIVE"))
5320         )
5321         (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[17].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5322           (property INIT (string "1'b0"))
5323           (property IS_CLR_INVERTED (string "1'b0"))
5324           (property IS_C_INVERTED (string "1'b0"))
5325           (property IS_D_INVERTED (string "1'b0"))
5326           (property box_type (string "PRIMITIVE"))
5327         )
5328         (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[18].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5329           (property INIT (string "1'b0"))
5330           (property IS_CLR_INVERTED (string "1'b0"))
5331           (property IS_C_INVERTED (string "1'b0"))
5332           (property IS_D_INVERTED (string "1'b0"))
5333           (property box_type (string "PRIMITIVE"))
5334         )
5335         (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[19].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5336           (property INIT (string "1'b0"))
5337           (property IS_CLR_INVERTED (string "1'b0"))
5338           (property IS_C_INVERTED (string "1'b0"))
5339           (property IS_D_INVERTED (string "1'b0"))
5340           (property box_type (string "PRIMITIVE"))
5341         )
5342         (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[1].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5343           (property INIT (string "1'b0"))
5344           (property IS_CLR_INVERTED (string "1'b0"))
5345           (property IS_C_INVERTED (string "1'b0"))
5346           (property IS_D_INVERTED (string "1'b0"))
5347           (property box_type (string "PRIMITIVE"))
5348         )
5349         (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[20].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5350           (property INIT (string "1'b0"))
5351           (property IS_CLR_INVERTED (string "1'b0"))
5352           (property IS_C_INVERTED (string "1'b0"))
5353           (property IS_D_INVERTED (string "1'b0"))
5354           (property box_type (string "PRIMITIVE"))
5355         )
5356         (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[21].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5357           (property INIT (string "1'b0"))
5358           (property IS_CLR_INVERTED (string "1'b0"))
5359           (property IS_C_INVERTED (string "1'b0"))
5360           (property IS_D_INVERTED (string "1'b0"))
5361           (property box_type (string "PRIMITIVE"))
5362         )
5363         (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[22].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5364           (property INIT (string "1'b0"))
5365           (property IS_CLR_INVERTED (string "1'b0"))
5366           (property IS_C_INVERTED (string "1'b0"))
5367           (property IS_D_INVERTED (string "1'b0"))
5368           (property box_type (string "PRIMITIVE"))
5369         )
5370         (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[23].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5371           (property INIT (string "1'b0"))
5372           (property IS_CLR_INVERTED (string "1'b0"))
5373           (property IS_C_INVERTED (string "1'b0"))
5374           (property IS_D_INVERTED (string "1'b0"))
5375           (property box_type (string "PRIMITIVE"))
5376         )
5377         (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[24].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5378           (property INIT (string "1'b0"))
5379           (property IS_CLR_INVERTED (string "1'b0"))
5380           (property IS_C_INVERTED (string "1'b0"))
5381           (property IS_D_INVERTED (string "1'b0"))
5382           (property box_type (string "PRIMITIVE"))
5383         )
5384         (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[25].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5385           (property INIT (string "1'b0"))
5386           (property IS_CLR_INVERTED (string "1'b0"))
5387           (property IS_C_INVERTED (string "1'b0"))
5388           (property IS_D_INVERTED (string "1'b0"))
5389           (property box_type (string "PRIMITIVE"))
5390         )
5391         (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[26].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5392           (property INIT (string "1'b0"))
5393           (property IS_CLR_INVERTED (string "1'b0"))
5394           (property IS_C_INVERTED (string "1'b0"))
5395           (property IS_D_INVERTED (string "1'b0"))
5396           (property box_type (string "PRIMITIVE"))
5397         )
5398         (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[27].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5399           (property INIT (string "1'b0"))
5400           (property IS_CLR_INVERTED (string "1'b0"))
5401           (property IS_C_INVERTED (string "1'b0"))
5402           (property IS_D_INVERTED (string "1'b0"))
5403           (property box_type (string "PRIMITIVE"))
5404         )
5405         (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[28].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5406           (property INIT (string "1'b0"))
5407           (property IS_CLR_INVERTED (string "1'b0"))
5408           (property IS_C_INVERTED (string "1'b0"))
5409           (property IS_D_INVERTED (string "1'b0"))
5410           (property box_type (string "PRIMITIVE"))
5411         )
5412         (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[29].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5413           (property INIT (string "1'b0"))
5414           (property IS_CLR_INVERTED (string "1'b0"))
5415           (property IS_C_INVERTED (string "1'b0"))
5416           (property IS_D_INVERTED (string "1'b0"))
5417           (property box_type (string "PRIMITIVE"))
5418         )
5419         (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[2].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5420           (property INIT (string "1'b0"))
5421           (property IS_CLR_INVERTED (string "1'b0"))
5422           (property IS_C_INVERTED (string "1'b0"))
5423           (property IS_D_INVERTED (string "1'b0"))
5424           (property box_type (string "PRIMITIVE"))
5425         )
5426         (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[30].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5427           (property INIT (string "1'b0"))
5428           (property IS_CLR_INVERTED (string "1'b0"))
5429           (property IS_C_INVERTED (string "1'b0"))
5430           (property IS_D_INVERTED (string "1'b0"))
5431           (property box_type (string "PRIMITIVE"))
5432         )
5433         (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[3].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5434           (property INIT (string "1'b0"))
5435           (property IS_CLR_INVERTED (string "1'b0"))
5436           (property IS_C_INVERTED (string "1'b0"))
5437           (property IS_D_INVERTED (string "1'b0"))
5438           (property box_type (string "PRIMITIVE"))
5439         )
5440         (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[4].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5441           (property INIT (string "1'b0"))
5442           (property IS_CLR_INVERTED (string "1'b0"))
5443           (property IS_C_INVERTED (string "1'b0"))
5444           (property IS_D_INVERTED (string "1'b0"))
5445           (property box_type (string "PRIMITIVE"))
5446         )
5447         (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[5].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5448           (property INIT (string "1'b0"))
5449           (property IS_CLR_INVERTED (string "1'b0"))
5450           (property IS_C_INVERTED (string "1'b0"))
5451           (property IS_D_INVERTED (string "1'b0"))
5452           (property box_type (string "PRIMITIVE"))
5453         )
5454         (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[6].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5455           (property INIT (string "1'b0"))
5456           (property IS_CLR_INVERTED (string "1'b0"))
5457           (property IS_C_INVERTED (string "1'b0"))
5458           (property IS_D_INVERTED (string "1'b0"))
5459           (property box_type (string "PRIMITIVE"))
5460         )
5461         (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[7].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5462           (property INIT (string "1'b0"))
5463           (property IS_CLR_INVERTED (string "1'b0"))
5464           (property IS_C_INVERTED (string "1'b0"))
5465           (property IS_D_INVERTED (string "1'b0"))
5466           (property box_type (string "PRIMITIVE"))
5467         )
5468         (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[8].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5469           (property INIT (string "1'b0"))
5470           (property IS_CLR_INVERTED (string "1'b0"))
5471           (property IS_C_INVERTED (string "1'b0"))
5472           (property IS_D_INVERTED (string "1'b0"))
5473           (property box_type (string "PRIMITIVE"))
5474         )
5475         (instance (rename RptCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx "RptCntHs/BlkOut.ODataFlop/GenFlops[9].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5476           (property INIT (string "1'b0"))
5477           (property IS_CLR_INVERTED (string "1'b0"))
5478           (property IS_C_INVERTED (string "1'b0"))
5479           (property IS_D_INVERTED (string "1'b0"))
5480           (property box_type (string "PRIMITIVE"))
5481         )
5482         (instance (rename RptCntHs_BlkOut_oDataValid_reg "RptCntHs/BlkOut.oDataValid_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5483           (property INIT (string "1'b0"))
5484         )
5485         (instance (rename RptCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx "RptCntHs/BlkOut.oPushToggle1x/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5486           (property INIT (string "1'b0"))
5487           (property IS_CLR_INVERTED (string "1'b0"))
5488           (property IS_C_INVERTED (string "1'b0"))
5489           (property IS_D_INVERTED (string "1'b0"))
5490           (property ASYNC_REG (boolean (true)))
5491           (property box_type (string "PRIMITIVE"))
5492         )
5493         (instance (rename RptCntHs_BlkOut_oPushToggle2_reg "RptCntHs/BlkOut.oPushToggle2_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5494           (property INIT (string "1'b0"))
5495         )
5496         (instance (rename RptCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx "RptCntHs/BlkOut.oPushToggle_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5497           (property INIT (string "1'b0"))
5498           (property IS_CLR_INVERTED (string "1'b0"))
5499           (property IS_C_INVERTED (string "1'b0"))
5500           (property IS_D_INVERTED (string "1'b0"))
5501           (property ASYNC_REG (boolean (true)))
5502           (property box_type (string "PRIMITIVE"))
5503         )
5504         (instance (rename RptCntReadbackDsGen_0__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[0].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5505           (property INIT (string "1'b0"))
5506           (property IS_CLR_INVERTED (string "1'b0"))
5507           (property IS_C_INVERTED (string "1'b0"))
5508           (property IS_D_INVERTED (string "1'b0"))
5509           (property ASYNC_REG (boolean (true)))
5510           (property box_type (string "PRIMITIVE"))
5511         )
5512         (instance (rename RptCntReadbackDsGen_0__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[0].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5513           (property INIT (string "1'b0"))
5514           (property IS_CLR_INVERTED (string "1'b0"))
5515           (property IS_C_INVERTED (string "1'b0"))
5516           (property IS_D_INVERTED (string "1'b0"))
5517           (property ASYNC_REG (boolean (true)))
5518           (property box_type (string "PRIMITIVE"))
5519         )
5520         (instance (rename RptCntReadbackDsGen_10__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[10].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5521           (property INIT (string "1'b0"))
5522           (property IS_CLR_INVERTED (string "1'b0"))
5523           (property IS_C_INVERTED (string "1'b0"))
5524           (property IS_D_INVERTED (string "1'b0"))
5525           (property ASYNC_REG (boolean (true)))
5526           (property box_type (string "PRIMITIVE"))
5527         )
5528         (instance (rename RptCntReadbackDsGen_10__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[10].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5529           (property INIT (string "1'b0"))
5530           (property IS_CLR_INVERTED (string "1'b0"))
5531           (property IS_C_INVERTED (string "1'b0"))
5532           (property IS_D_INVERTED (string "1'b0"))
5533           (property ASYNC_REG (boolean (true)))
5534           (property box_type (string "PRIMITIVE"))
5535         )
5536         (instance (rename RptCntReadbackDsGen_11__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[11].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5537           (property INIT (string "1'b0"))
5538           (property IS_CLR_INVERTED (string "1'b0"))
5539           (property IS_C_INVERTED (string "1'b0"))
5540           (property IS_D_INVERTED (string "1'b0"))
5541           (property ASYNC_REG (boolean (true)))
5542           (property box_type (string "PRIMITIVE"))
5543         )
5544         (instance (rename RptCntReadbackDsGen_11__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[11].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5545           (property INIT (string "1'b0"))
5546           (property IS_CLR_INVERTED (string "1'b0"))
5547           (property IS_C_INVERTED (string "1'b0"))
5548           (property IS_D_INVERTED (string "1'b0"))
5549           (property ASYNC_REG (boolean (true)))
5550           (property box_type (string "PRIMITIVE"))
5551         )
5552         (instance (rename RptCntReadbackDsGen_12__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[12].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5553           (property INIT (string "1'b0"))
5554           (property IS_CLR_INVERTED (string "1'b0"))
5555           (property IS_C_INVERTED (string "1'b0"))
5556           (property IS_D_INVERTED (string "1'b0"))
5557           (property ASYNC_REG (boolean (true)))
5558           (property box_type (string "PRIMITIVE"))
5559         )
5560         (instance (rename RptCntReadbackDsGen_12__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[12].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5561           (property INIT (string "1'b0"))
5562           (property IS_CLR_INVERTED (string "1'b0"))
5563           (property IS_C_INVERTED (string "1'b0"))
5564           (property IS_D_INVERTED (string "1'b0"))
5565           (property ASYNC_REG (boolean (true)))
5566           (property box_type (string "PRIMITIVE"))
5567         )
5568         (instance (rename RptCntReadbackDsGen_13__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[13].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5569           (property INIT (string "1'b0"))
5570           (property IS_CLR_INVERTED (string "1'b0"))
5571           (property IS_C_INVERTED (string "1'b0"))
5572           (property IS_D_INVERTED (string "1'b0"))
5573           (property ASYNC_REG (boolean (true)))
5574           (property box_type (string "PRIMITIVE"))
5575         )
5576         (instance (rename RptCntReadbackDsGen_13__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[13].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5577           (property INIT (string "1'b0"))
5578           (property IS_CLR_INVERTED (string "1'b0"))
5579           (property IS_C_INVERTED (string "1'b0"))
5580           (property IS_D_INVERTED (string "1'b0"))
5581           (property ASYNC_REG (boolean (true)))
5582           (property box_type (string "PRIMITIVE"))
5583         )
5584         (instance (rename RptCntReadbackDsGen_14__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[14].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5585           (property INIT (string "1'b0"))
5586           (property IS_CLR_INVERTED (string "1'b0"))
5587           (property IS_C_INVERTED (string "1'b0"))
5588           (property IS_D_INVERTED (string "1'b0"))
5589           (property ASYNC_REG (boolean (true)))
5590           (property box_type (string "PRIMITIVE"))
5591         )
5592         (instance (rename RptCntReadbackDsGen_14__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[14].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5593           (property INIT (string "1'b0"))
5594           (property IS_CLR_INVERTED (string "1'b0"))
5595           (property IS_C_INVERTED (string "1'b0"))
5596           (property IS_D_INVERTED (string "1'b0"))
5597           (property ASYNC_REG (boolean (true)))
5598           (property box_type (string "PRIMITIVE"))
5599         )
5600         (instance (rename RptCntReadbackDsGen_15__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[15].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5601           (property INIT (string "1'b0"))
5602           (property IS_CLR_INVERTED (string "1'b0"))
5603           (property IS_C_INVERTED (string "1'b0"))
5604           (property IS_D_INVERTED (string "1'b0"))
5605           (property ASYNC_REG (boolean (true)))
5606           (property box_type (string "PRIMITIVE"))
5607         )
5608         (instance (rename RptCntReadbackDsGen_15__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[15].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5609           (property INIT (string "1'b0"))
5610           (property IS_CLR_INVERTED (string "1'b0"))
5611           (property IS_C_INVERTED (string "1'b0"))
5612           (property IS_D_INVERTED (string "1'b0"))
5613           (property ASYNC_REG (boolean (true)))
5614           (property box_type (string "PRIMITIVE"))
5615         )
5616         (instance (rename RptCntReadbackDsGen_16__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[16].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5617           (property INIT (string "1'b0"))
5618           (property IS_CLR_INVERTED (string "1'b0"))
5619           (property IS_C_INVERTED (string "1'b0"))
5620           (property IS_D_INVERTED (string "1'b0"))
5621           (property ASYNC_REG (boolean (true)))
5622           (property box_type (string "PRIMITIVE"))
5623         )
5624         (instance (rename RptCntReadbackDsGen_16__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[16].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5625           (property INIT (string "1'b0"))
5626           (property IS_CLR_INVERTED (string "1'b0"))
5627           (property IS_C_INVERTED (string "1'b0"))
5628           (property IS_D_INVERTED (string "1'b0"))
5629           (property ASYNC_REG (boolean (true)))
5630           (property box_type (string "PRIMITIVE"))
5631         )
5632         (instance (rename RptCntReadbackDsGen_17__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[17].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5633           (property INIT (string "1'b0"))
5634           (property IS_CLR_INVERTED (string "1'b0"))
5635           (property IS_C_INVERTED (string "1'b0"))
5636           (property IS_D_INVERTED (string "1'b0"))
5637           (property ASYNC_REG (boolean (true)))
5638           (property box_type (string "PRIMITIVE"))
5639         )
5640         (instance (rename RptCntReadbackDsGen_17__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[17].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5641           (property INIT (string "1'b0"))
5642           (property IS_CLR_INVERTED (string "1'b0"))
5643           (property IS_C_INVERTED (string "1'b0"))
5644           (property IS_D_INVERTED (string "1'b0"))
5645           (property ASYNC_REG (boolean (true)))
5646           (property box_type (string "PRIMITIVE"))
5647         )
5648         (instance (rename RptCntReadbackDsGen_18__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[18].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5649           (property INIT (string "1'b0"))
5650           (property IS_CLR_INVERTED (string "1'b0"))
5651           (property IS_C_INVERTED (string "1'b0"))
5652           (property IS_D_INVERTED (string "1'b0"))
5653           (property ASYNC_REG (boolean (true)))
5654           (property box_type (string "PRIMITIVE"))
5655         )
5656         (instance (rename RptCntReadbackDsGen_18__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[18].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5657           (property INIT (string "1'b0"))
5658           (property IS_CLR_INVERTED (string "1'b0"))
5659           (property IS_C_INVERTED (string "1'b0"))
5660           (property IS_D_INVERTED (string "1'b0"))
5661           (property ASYNC_REG (boolean (true)))
5662           (property box_type (string "PRIMITIVE"))
5663         )
5664         (instance (rename RptCntReadbackDsGen_19__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[19].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5665           (property INIT (string "1'b0"))
5666           (property IS_CLR_INVERTED (string "1'b0"))
5667           (property IS_C_INVERTED (string "1'b0"))
5668           (property IS_D_INVERTED (string "1'b0"))
5669           (property ASYNC_REG (boolean (true)))
5670           (property box_type (string "PRIMITIVE"))
5671         )
5672         (instance (rename RptCntReadbackDsGen_19__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[19].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5673           (property INIT (string "1'b0"))
5674           (property IS_CLR_INVERTED (string "1'b0"))
5675           (property IS_C_INVERTED (string "1'b0"))
5676           (property IS_D_INVERTED (string "1'b0"))
5677           (property ASYNC_REG (boolean (true)))
5678           (property box_type (string "PRIMITIVE"))
5679         )
5680         (instance (rename RptCntReadbackDsGen_1__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[1].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5681           (property INIT (string "1'b0"))
5682           (property IS_CLR_INVERTED (string "1'b0"))
5683           (property IS_C_INVERTED (string "1'b0"))
5684           (property IS_D_INVERTED (string "1'b0"))
5685           (property ASYNC_REG (boolean (true)))
5686           (property box_type (string "PRIMITIVE"))
5687         )
5688         (instance (rename RptCntReadbackDsGen_1__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[1].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5689           (property INIT (string "1'b0"))
5690           (property IS_CLR_INVERTED (string "1'b0"))
5691           (property IS_C_INVERTED (string "1'b0"))
5692           (property IS_D_INVERTED (string "1'b0"))
5693           (property ASYNC_REG (boolean (true)))
5694           (property box_type (string "PRIMITIVE"))
5695         )
5696         (instance (rename RptCntReadbackDsGen_20__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[20].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5697           (property INIT (string "1'b0"))
5698           (property IS_CLR_INVERTED (string "1'b0"))
5699           (property IS_C_INVERTED (string "1'b0"))
5700           (property IS_D_INVERTED (string "1'b0"))
5701           (property ASYNC_REG (boolean (true)))
5702           (property box_type (string "PRIMITIVE"))
5703         )
5704         (instance (rename RptCntReadbackDsGen_20__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[20].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5705           (property INIT (string "1'b0"))
5706           (property IS_CLR_INVERTED (string "1'b0"))
5707           (property IS_C_INVERTED (string "1'b0"))
5708           (property IS_D_INVERTED (string "1'b0"))
5709           (property ASYNC_REG (boolean (true)))
5710           (property box_type (string "PRIMITIVE"))
5711         )
5712         (instance (rename RptCntReadbackDsGen_21__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[21].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5713           (property INIT (string "1'b0"))
5714           (property IS_CLR_INVERTED (string "1'b0"))
5715           (property IS_C_INVERTED (string "1'b0"))
5716           (property IS_D_INVERTED (string "1'b0"))
5717           (property ASYNC_REG (boolean (true)))
5718           (property box_type (string "PRIMITIVE"))
5719         )
5720         (instance (rename RptCntReadbackDsGen_21__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[21].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5721           (property INIT (string "1'b0"))
5722           (property IS_CLR_INVERTED (string "1'b0"))
5723           (property IS_C_INVERTED (string "1'b0"))
5724           (property IS_D_INVERTED (string "1'b0"))
5725           (property ASYNC_REG (boolean (true)))
5726           (property box_type (string "PRIMITIVE"))
5727         )
5728         (instance (rename RptCntReadbackDsGen_22__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[22].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5729           (property INIT (string "1'b0"))
5730           (property IS_CLR_INVERTED (string "1'b0"))
5731           (property IS_C_INVERTED (string "1'b0"))
5732           (property IS_D_INVERTED (string "1'b0"))
5733           (property ASYNC_REG (boolean (true)))
5734           (property box_type (string "PRIMITIVE"))
5735         )
5736         (instance (rename RptCntReadbackDsGen_22__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[22].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5737           (property INIT (string "1'b0"))
5738           (property IS_CLR_INVERTED (string "1'b0"))
5739           (property IS_C_INVERTED (string "1'b0"))
5740           (property IS_D_INVERTED (string "1'b0"))
5741           (property ASYNC_REG (boolean (true)))
5742           (property box_type (string "PRIMITIVE"))
5743         )
5744         (instance (rename RptCntReadbackDsGen_23__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[23].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5745           (property INIT (string "1'b0"))
5746           (property IS_CLR_INVERTED (string "1'b0"))
5747           (property IS_C_INVERTED (string "1'b0"))
5748           (property IS_D_INVERTED (string "1'b0"))
5749           (property ASYNC_REG (boolean (true)))
5750           (property box_type (string "PRIMITIVE"))
5751         )
5752         (instance (rename RptCntReadbackDsGen_23__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[23].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5753           (property INIT (string "1'b0"))
5754           (property IS_CLR_INVERTED (string "1'b0"))
5755           (property IS_C_INVERTED (string "1'b0"))
5756           (property IS_D_INVERTED (string "1'b0"))
5757           (property ASYNC_REG (boolean (true)))
5758           (property box_type (string "PRIMITIVE"))
5759         )
5760         (instance (rename RptCntReadbackDsGen_24__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[24].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5761           (property INIT (string "1'b0"))
5762           (property IS_CLR_INVERTED (string "1'b0"))
5763           (property IS_C_INVERTED (string "1'b0"))
5764           (property IS_D_INVERTED (string "1'b0"))
5765           (property ASYNC_REG (boolean (true)))
5766           (property box_type (string "PRIMITIVE"))
5767         )
5768         (instance (rename RptCntReadbackDsGen_24__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[24].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5769           (property INIT (string "1'b0"))
5770           (property IS_CLR_INVERTED (string "1'b0"))
5771           (property IS_C_INVERTED (string "1'b0"))
5772           (property IS_D_INVERTED (string "1'b0"))
5773           (property ASYNC_REG (boolean (true)))
5774           (property box_type (string "PRIMITIVE"))
5775         )
5776         (instance (rename RptCntReadbackDsGen_25__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[25].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5777           (property INIT (string "1'b0"))
5778           (property IS_CLR_INVERTED (string "1'b0"))
5779           (property IS_C_INVERTED (string "1'b0"))
5780           (property IS_D_INVERTED (string "1'b0"))
5781           (property ASYNC_REG (boolean (true)))
5782           (property box_type (string "PRIMITIVE"))
5783         )
5784         (instance (rename RptCntReadbackDsGen_25__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[25].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5785           (property INIT (string "1'b0"))
5786           (property IS_CLR_INVERTED (string "1'b0"))
5787           (property IS_C_INVERTED (string "1'b0"))
5788           (property IS_D_INVERTED (string "1'b0"))
5789           (property ASYNC_REG (boolean (true)))
5790           (property box_type (string "PRIMITIVE"))
5791         )
5792         (instance (rename RptCntReadbackDsGen_26__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[26].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5793           (property INIT (string "1'b0"))
5794           (property IS_CLR_INVERTED (string "1'b0"))
5795           (property IS_C_INVERTED (string "1'b0"))
5796           (property IS_D_INVERTED (string "1'b0"))
5797           (property ASYNC_REG (boolean (true)))
5798           (property box_type (string "PRIMITIVE"))
5799         )
5800         (instance (rename RptCntReadbackDsGen_26__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[26].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5801           (property INIT (string "1'b0"))
5802           (property IS_CLR_INVERTED (string "1'b0"))
5803           (property IS_C_INVERTED (string "1'b0"))
5804           (property IS_D_INVERTED (string "1'b0"))
5805           (property ASYNC_REG (boolean (true)))
5806           (property box_type (string "PRIMITIVE"))
5807         )
5808         (instance (rename RptCntReadbackDsGen_27__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[27].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5809           (property INIT (string "1'b0"))
5810           (property IS_CLR_INVERTED (string "1'b0"))
5811           (property IS_C_INVERTED (string "1'b0"))
5812           (property IS_D_INVERTED (string "1'b0"))
5813           (property ASYNC_REG (boolean (true)))
5814           (property box_type (string "PRIMITIVE"))
5815         )
5816         (instance (rename RptCntReadbackDsGen_27__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[27].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5817           (property INIT (string "1'b0"))
5818           (property IS_CLR_INVERTED (string "1'b0"))
5819           (property IS_C_INVERTED (string "1'b0"))
5820           (property IS_D_INVERTED (string "1'b0"))
5821           (property ASYNC_REG (boolean (true)))
5822           (property box_type (string "PRIMITIVE"))
5823         )
5824         (instance (rename RptCntReadbackDsGen_28__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[28].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5825           (property INIT (string "1'b0"))
5826           (property IS_CLR_INVERTED (string "1'b0"))
5827           (property IS_C_INVERTED (string "1'b0"))
5828           (property IS_D_INVERTED (string "1'b0"))
5829           (property ASYNC_REG (boolean (true)))
5830           (property box_type (string "PRIMITIVE"))
5831         )
5832         (instance (rename RptCntReadbackDsGen_28__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[28].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5833           (property INIT (string "1'b0"))
5834           (property IS_CLR_INVERTED (string "1'b0"))
5835           (property IS_C_INVERTED (string "1'b0"))
5836           (property IS_D_INVERTED (string "1'b0"))
5837           (property ASYNC_REG (boolean (true)))
5838           (property box_type (string "PRIMITIVE"))
5839         )
5840         (instance (rename RptCntReadbackDsGen_29__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[29].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5841           (property INIT (string "1'b0"))
5842           (property IS_CLR_INVERTED (string "1'b0"))
5843           (property IS_C_INVERTED (string "1'b0"))
5844           (property IS_D_INVERTED (string "1'b0"))
5845           (property ASYNC_REG (boolean (true)))
5846           (property box_type (string "PRIMITIVE"))
5847         )
5848         (instance (rename RptCntReadbackDsGen_29__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[29].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5849           (property INIT (string "1'b0"))
5850           (property IS_CLR_INVERTED (string "1'b0"))
5851           (property IS_C_INVERTED (string "1'b0"))
5852           (property IS_D_INVERTED (string "1'b0"))
5853           (property ASYNC_REG (boolean (true)))
5854           (property box_type (string "PRIMITIVE"))
5855         )
5856         (instance (rename RptCntReadbackDsGen_2__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[2].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5857           (property INIT (string "1'b0"))
5858           (property IS_CLR_INVERTED (string "1'b0"))
5859           (property IS_C_INVERTED (string "1'b0"))
5860           (property IS_D_INVERTED (string "1'b0"))
5861           (property ASYNC_REG (boolean (true)))
5862           (property box_type (string "PRIMITIVE"))
5863         )
5864         (instance (rename RptCntReadbackDsGen_2__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[2].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5865           (property INIT (string "1'b0"))
5866           (property IS_CLR_INVERTED (string "1'b0"))
5867           (property IS_C_INVERTED (string "1'b0"))
5868           (property IS_D_INVERTED (string "1'b0"))
5869           (property ASYNC_REG (boolean (true)))
5870           (property box_type (string "PRIMITIVE"))
5871         )
5872         (instance (rename RptCntReadbackDsGen_30__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[30].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5873           (property INIT (string "1'b0"))
5874           (property IS_CLR_INVERTED (string "1'b0"))
5875           (property IS_C_INVERTED (string "1'b0"))
5876           (property IS_D_INVERTED (string "1'b0"))
5877           (property ASYNC_REG (boolean (true)))
5878           (property box_type (string "PRIMITIVE"))
5879         )
5880         (instance (rename RptCntReadbackDsGen_30__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[30].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5881           (property INIT (string "1'b0"))
5882           (property IS_CLR_INVERTED (string "1'b0"))
5883           (property IS_C_INVERTED (string "1'b0"))
5884           (property IS_D_INVERTED (string "1'b0"))
5885           (property ASYNC_REG (boolean (true)))
5886           (property box_type (string "PRIMITIVE"))
5887         )
5888         (instance (rename RptCntReadbackDsGen_31__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[31].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5889           (property INIT (string "1'b0"))
5890           (property IS_CLR_INVERTED (string "1'b0"))
5891           (property IS_C_INVERTED (string "1'b0"))
5892           (property IS_D_INVERTED (string "1'b0"))
5893           (property ASYNC_REG (boolean (true)))
5894           (property box_type (string "PRIMITIVE"))
5895         )
5896         (instance (rename RptCntReadbackDsGen_31__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[31].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5897           (property INIT (string "1'b0"))
5898           (property IS_CLR_INVERTED (string "1'b0"))
5899           (property IS_C_INVERTED (string "1'b0"))
5900           (property IS_D_INVERTED (string "1'b0"))
5901           (property ASYNC_REG (boolean (true)))
5902           (property box_type (string "PRIMITIVE"))
5903         )
5904         (instance (rename RptCntReadbackDsGen_3__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[3].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5905           (property INIT (string "1'b0"))
5906           (property IS_CLR_INVERTED (string "1'b0"))
5907           (property IS_C_INVERTED (string "1'b0"))
5908           (property IS_D_INVERTED (string "1'b0"))
5909           (property ASYNC_REG (boolean (true)))
5910           (property box_type (string "PRIMITIVE"))
5911         )
5912         (instance (rename RptCntReadbackDsGen_3__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[3].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5913           (property INIT (string "1'b0"))
5914           (property IS_CLR_INVERTED (string "1'b0"))
5915           (property IS_C_INVERTED (string "1'b0"))
5916           (property IS_D_INVERTED (string "1'b0"))
5917           (property ASYNC_REG (boolean (true)))
5918           (property box_type (string "PRIMITIVE"))
5919         )
5920         (instance (rename RptCntReadbackDsGen_4__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[4].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5921           (property INIT (string "1'b0"))
5922           (property IS_CLR_INVERTED (string "1'b0"))
5923           (property IS_C_INVERTED (string "1'b0"))
5924           (property IS_D_INVERTED (string "1'b0"))
5925           (property ASYNC_REG (boolean (true)))
5926           (property box_type (string "PRIMITIVE"))
5927         )
5928         (instance (rename RptCntReadbackDsGen_4__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[4].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5929           (property INIT (string "1'b0"))
5930           (property IS_CLR_INVERTED (string "1'b0"))
5931           (property IS_C_INVERTED (string "1'b0"))
5932           (property IS_D_INVERTED (string "1'b0"))
5933           (property ASYNC_REG (boolean (true)))
5934           (property box_type (string "PRIMITIVE"))
5935         )
5936         (instance (rename RptCntReadbackDsGen_5__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[5].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5937           (property INIT (string "1'b0"))
5938           (property IS_CLR_INVERTED (string "1'b0"))
5939           (property IS_C_INVERTED (string "1'b0"))
5940           (property IS_D_INVERTED (string "1'b0"))
5941           (property ASYNC_REG (boolean (true)))
5942           (property box_type (string "PRIMITIVE"))
5943         )
5944         (instance (rename RptCntReadbackDsGen_5__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[5].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5945           (property INIT (string "1'b0"))
5946           (property IS_CLR_INVERTED (string "1'b0"))
5947           (property IS_C_INVERTED (string "1'b0"))
5948           (property IS_D_INVERTED (string "1'b0"))
5949           (property ASYNC_REG (boolean (true)))
5950           (property box_type (string "PRIMITIVE"))
5951         )
5952         (instance (rename RptCntReadbackDsGen_6__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[6].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5953           (property INIT (string "1'b0"))
5954           (property IS_CLR_INVERTED (string "1'b0"))
5955           (property IS_C_INVERTED (string "1'b0"))
5956           (property IS_D_INVERTED (string "1'b0"))
5957           (property ASYNC_REG (boolean (true)))
5958           (property box_type (string "PRIMITIVE"))
5959         )
5960         (instance (rename RptCntReadbackDsGen_6__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[6].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5961           (property INIT (string "1'b0"))
5962           (property IS_CLR_INVERTED (string "1'b0"))
5963           (property IS_C_INVERTED (string "1'b0"))
5964           (property IS_D_INVERTED (string "1'b0"))
5965           (property ASYNC_REG (boolean (true)))
5966           (property box_type (string "PRIMITIVE"))
5967         )
5968         (instance (rename RptCntReadbackDsGen_7__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[7].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5969           (property INIT (string "1'b0"))
5970           (property IS_CLR_INVERTED (string "1'b0"))
5971           (property IS_C_INVERTED (string "1'b0"))
5972           (property IS_D_INVERTED (string "1'b0"))
5973           (property ASYNC_REG (boolean (true)))
5974           (property box_type (string "PRIMITIVE"))
5975         )
5976         (instance (rename RptCntReadbackDsGen_7__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[7].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5977           (property INIT (string "1'b0"))
5978           (property IS_CLR_INVERTED (string "1'b0"))
5979           (property IS_C_INVERTED (string "1'b0"))
5980           (property IS_D_INVERTED (string "1'b0"))
5981           (property ASYNC_REG (boolean (true)))
5982           (property box_type (string "PRIMITIVE"))
5983         )
5984         (instance (rename RptCntReadbackDsGen_8__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[8].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5985           (property INIT (string "1'b0"))
5986           (property IS_CLR_INVERTED (string "1'b0"))
5987           (property IS_C_INVERTED (string "1'b0"))
5988           (property IS_D_INVERTED (string "1'b0"))
5989           (property ASYNC_REG (boolean (true)))
5990           (property box_type (string "PRIMITIVE"))
5991         )
5992         (instance (rename RptCntReadbackDsGen_8__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[8].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
5993           (property INIT (string "1'b0"))
5994           (property IS_CLR_INVERTED (string "1'b0"))
5995           (property IS_C_INVERTED (string "1'b0"))
5996           (property IS_D_INVERTED (string "1'b0"))
5997           (property ASYNC_REG (boolean (true)))
5998           (property box_type (string "PRIMITIVE"))
5999         )
6000         (instance (rename RptCntReadbackDsGen_9__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "RptCntReadbackDsGen[9].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6001           (property INIT (string "1'b0"))
6002           (property IS_CLR_INVERTED (string "1'b0"))
6003           (property IS_C_INVERTED (string "1'b0"))
6004           (property IS_D_INVERTED (string "1'b0"))
6005           (property ASYNC_REG (boolean (true)))
6006           (property box_type (string "PRIMITIVE"))
6007         )
6008         (instance (rename RptCntReadbackDsGen_9__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "RptCntReadbackDsGen[9].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6009           (property INIT (string "1'b0"))
6010           (property IS_CLR_INVERTED (string "1'b0"))
6011           (property IS_C_INVERTED (string "1'b0"))
6012           (property IS_D_INVERTED (string "1'b0"))
6013           (property ASYNC_REG (boolean (true)))
6014           (property box_type (string "PRIMITIVE"))
6015         )
6016         (instance (rename SpCntHs_BlkIn_iDlyPush_reg "SpCntHs/BlkIn.iDlyPush_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6017           (property INIT (string "1'b0"))
6018         )
6019         (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_0_ "SpCntHs/BlkIn.iLclStoredData_reg[0]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6020           (property INIT (string "1'b0"))
6021         )
6022         (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_10_ "SpCntHs/BlkIn.iLclStoredData_reg[10]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6023           (property INIT (string "1'b0"))
6024         )
6025         (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_11_ "SpCntHs/BlkIn.iLclStoredData_reg[11]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6026           (property INIT (string "1'b0"))
6027         )
6028         (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_12_ "SpCntHs/BlkIn.iLclStoredData_reg[12]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6029           (property INIT (string "1'b0"))
6030         )
6031         (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_13_ "SpCntHs/BlkIn.iLclStoredData_reg[13]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6032           (property INIT (string "1'b0"))
6033         )
6034         (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_14_ "SpCntHs/BlkIn.iLclStoredData_reg[14]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6035           (property INIT (string "1'b0"))
6036         )
6037         (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_15_ "SpCntHs/BlkIn.iLclStoredData_reg[15]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6038           (property INIT (string "1'b0"))
6039         )
6040         (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_16_ "SpCntHs/BlkIn.iLclStoredData_reg[16]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6041           (property INIT (string "1'b0"))
6042         )
6043         (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_17_ "SpCntHs/BlkIn.iLclStoredData_reg[17]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6044           (property INIT (string "1'b0"))
6045         )
6046         (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_18_ "SpCntHs/BlkIn.iLclStoredData_reg[18]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6047           (property INIT (string "1'b0"))
6048         )
6049         (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_19_ "SpCntHs/BlkIn.iLclStoredData_reg[19]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6050           (property INIT (string "1'b0"))
6051         )
6052         (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_1_ "SpCntHs/BlkIn.iLclStoredData_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6053           (property INIT (string "1'b0"))
6054         )
6055         (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_20_ "SpCntHs/BlkIn.iLclStoredData_reg[20]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6056           (property INIT (string "1'b0"))
6057         )
6058         (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_21_ "SpCntHs/BlkIn.iLclStoredData_reg[21]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6059           (property INIT (string "1'b0"))
6060         )
6061         (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_22_ "SpCntHs/BlkIn.iLclStoredData_reg[22]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6062           (property INIT (string "1'b0"))
6063         )
6064         (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_23_ "SpCntHs/BlkIn.iLclStoredData_reg[23]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6065           (property INIT (string "1'b0"))
6066         )
6067         (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_24_ "SpCntHs/BlkIn.iLclStoredData_reg[24]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6068           (property INIT (string "1'b0"))
6069         )
6070         (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_25_ "SpCntHs/BlkIn.iLclStoredData_reg[25]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6071           (property INIT (string "1'b0"))
6072         )
6073         (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_26_ "SpCntHs/BlkIn.iLclStoredData_reg[26]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6074           (property INIT (string "1'b0"))
6075         )
6076         (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_27_ "SpCntHs/BlkIn.iLclStoredData_reg[27]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6077           (property INIT (string "1'b0"))
6078         )
6079         (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_28_ "SpCntHs/BlkIn.iLclStoredData_reg[28]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6080           (property INIT (string "1'b0"))
6081         )
6082         (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_29_ "SpCntHs/BlkIn.iLclStoredData_reg[29]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6083           (property INIT (string "1'b0"))
6084         )
6085         (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_2_ "SpCntHs/BlkIn.iLclStoredData_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6086           (property INIT (string "1'b0"))
6087         )
6088         (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_30_ "SpCntHs/BlkIn.iLclStoredData_reg[30]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6089           (property INIT (string "1'b0"))
6090         )
6091         (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_31_ "SpCntHs/BlkIn.iLclStoredData_reg[31]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6092           (property INIT (string "1'b0"))
6093         )
6094         (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_3_ "SpCntHs/BlkIn.iLclStoredData_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6095           (property INIT (string "1'b0"))
6096         )
6097         (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_4_ "SpCntHs/BlkIn.iLclStoredData_reg[4]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6098           (property INIT (string "1'b0"))
6099         )
6100         (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_5_ "SpCntHs/BlkIn.iLclStoredData_reg[5]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6101           (property INIT (string "1'b0"))
6102         )
6103         (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_6_ "SpCntHs/BlkIn.iLclStoredData_reg[6]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6104           (property INIT (string "1'b0"))
6105         )
6106         (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_7_ "SpCntHs/BlkIn.iLclStoredData_reg[7]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6107           (property INIT (string "1'b0"))
6108         )
6109         (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_8_ "SpCntHs/BlkIn.iLclStoredData_reg[8]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6110           (property INIT (string "1'b0"))
6111         )
6112         (instance (rename SpCntHs_BlkIn_iLclStoredData_reg_9_ "SpCntHs/BlkIn.iLclStoredData_reg[9]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6113           (property INIT (string "1'b0"))
6114         )
6115         (instance (rename SpCntHs_BlkIn_iPushTogglex_Gen0_FDCEx "SpCntHs/BlkIn.iPushTogglex/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6116           (property INIT (string "1'b0"))
6117           (property IS_CLR_INVERTED (string "1'b0"))
6118           (property IS_C_INVERTED (string "1'b0"))
6119           (property IS_D_INVERTED (string "1'b0"))
6120           (property box_type (string "PRIMITIVE"))
6121         )
6122         (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[0].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6123           (property INIT (string "1'b0"))
6124           (property IS_CLR_INVERTED (string "1'b0"))
6125           (property IS_C_INVERTED (string "1'b0"))
6126           (property IS_D_INVERTED (string "1'b0"))
6127           (property box_type (string "PRIMITIVE"))
6128         )
6129         (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[10].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6130           (property INIT (string "1'b0"))
6131           (property IS_CLR_INVERTED (string "1'b0"))
6132           (property IS_C_INVERTED (string "1'b0"))
6133           (property IS_D_INVERTED (string "1'b0"))
6134           (property box_type (string "PRIMITIVE"))
6135         )
6136         (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[11].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6137           (property INIT (string "1'b0"))
6138           (property IS_CLR_INVERTED (string "1'b0"))
6139           (property IS_C_INVERTED (string "1'b0"))
6140           (property IS_D_INVERTED (string "1'b0"))
6141           (property box_type (string "PRIMITIVE"))
6142         )
6143         (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[12].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6144           (property INIT (string "1'b0"))
6145           (property IS_CLR_INVERTED (string "1'b0"))
6146           (property IS_C_INVERTED (string "1'b0"))
6147           (property IS_D_INVERTED (string "1'b0"))
6148           (property box_type (string "PRIMITIVE"))
6149         )
6150         (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[13].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6151           (property INIT (string "1'b0"))
6152           (property IS_CLR_INVERTED (string "1'b0"))
6153           (property IS_C_INVERTED (string "1'b0"))
6154           (property IS_D_INVERTED (string "1'b0"))
6155           (property box_type (string "PRIMITIVE"))
6156         )
6157         (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[14].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6158           (property INIT (string "1'b0"))
6159           (property IS_CLR_INVERTED (string "1'b0"))
6160           (property IS_C_INVERTED (string "1'b0"))
6161           (property IS_D_INVERTED (string "1'b0"))
6162           (property box_type (string "PRIMITIVE"))
6163         )
6164         (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[15].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6165           (property INIT (string "1'b0"))
6166           (property IS_CLR_INVERTED (string "1'b0"))
6167           (property IS_C_INVERTED (string "1'b0"))
6168           (property IS_D_INVERTED (string "1'b0"))
6169           (property box_type (string "PRIMITIVE"))
6170         )
6171         (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[16].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6172           (property INIT (string "1'b0"))
6173           (property IS_CLR_INVERTED (string "1'b0"))
6174           (property IS_C_INVERTED (string "1'b0"))
6175           (property IS_D_INVERTED (string "1'b0"))
6176           (property box_type (string "PRIMITIVE"))
6177         )
6178         (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[17].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6179           (property INIT (string "1'b0"))
6180           (property IS_CLR_INVERTED (string "1'b0"))
6181           (property IS_C_INVERTED (string "1'b0"))
6182           (property IS_D_INVERTED (string "1'b0"))
6183           (property box_type (string "PRIMITIVE"))
6184         )
6185         (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[18].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6186           (property INIT (string "1'b0"))
6187           (property IS_CLR_INVERTED (string "1'b0"))
6188           (property IS_C_INVERTED (string "1'b0"))
6189           (property IS_D_INVERTED (string "1'b0"))
6190           (property box_type (string "PRIMITIVE"))
6191         )
6192         (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[19].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6193           (property INIT (string "1'b0"))
6194           (property IS_CLR_INVERTED (string "1'b0"))
6195           (property IS_C_INVERTED (string "1'b0"))
6196           (property IS_D_INVERTED (string "1'b0"))
6197           (property box_type (string "PRIMITIVE"))
6198         )
6199         (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[1].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6200           (property INIT (string "1'b0"))
6201           (property IS_CLR_INVERTED (string "1'b0"))
6202           (property IS_C_INVERTED (string "1'b0"))
6203           (property IS_D_INVERTED (string "1'b0"))
6204           (property box_type (string "PRIMITIVE"))
6205         )
6206         (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[20].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6207           (property INIT (string "1'b0"))
6208           (property IS_CLR_INVERTED (string "1'b0"))
6209           (property IS_C_INVERTED (string "1'b0"))
6210           (property IS_D_INVERTED (string "1'b0"))
6211           (property box_type (string "PRIMITIVE"))
6212         )
6213         (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[21].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6214           (property INIT (string "1'b0"))
6215           (property IS_CLR_INVERTED (string "1'b0"))
6216           (property IS_C_INVERTED (string "1'b0"))
6217           (property IS_D_INVERTED (string "1'b0"))
6218           (property box_type (string "PRIMITIVE"))
6219         )
6220         (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[22].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6221           (property INIT (string "1'b0"))
6222           (property IS_CLR_INVERTED (string "1'b0"))
6223           (property IS_C_INVERTED (string "1'b0"))
6224           (property IS_D_INVERTED (string "1'b0"))
6225           (property box_type (string "PRIMITIVE"))
6226         )
6227         (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[23].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6228           (property INIT (string "1'b0"))
6229           (property IS_CLR_INVERTED (string "1'b0"))
6230           (property IS_C_INVERTED (string "1'b0"))
6231           (property IS_D_INVERTED (string "1'b0"))
6232           (property box_type (string "PRIMITIVE"))
6233         )
6234         (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[24].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6235           (property INIT (string "1'b0"))
6236           (property IS_CLR_INVERTED (string "1'b0"))
6237           (property IS_C_INVERTED (string "1'b0"))
6238           (property IS_D_INVERTED (string "1'b0"))
6239           (property box_type (string "PRIMITIVE"))
6240         )
6241         (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[25].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6242           (property INIT (string "1'b0"))
6243           (property IS_CLR_INVERTED (string "1'b0"))
6244           (property IS_C_INVERTED (string "1'b0"))
6245           (property IS_D_INVERTED (string "1'b0"))
6246           (property box_type (string "PRIMITIVE"))
6247         )
6248         (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[26].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6249           (property INIT (string "1'b0"))
6250           (property IS_CLR_INVERTED (string "1'b0"))
6251           (property IS_C_INVERTED (string "1'b0"))
6252           (property IS_D_INVERTED (string "1'b0"))
6253           (property box_type (string "PRIMITIVE"))
6254         )
6255         (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[27].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6256           (property INIT (string "1'b0"))
6257           (property IS_CLR_INVERTED (string "1'b0"))
6258           (property IS_C_INVERTED (string "1'b0"))
6259           (property IS_D_INVERTED (string "1'b0"))
6260           (property box_type (string "PRIMITIVE"))
6261         )
6262         (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[28].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6263           (property INIT (string "1'b0"))
6264           (property IS_CLR_INVERTED (string "1'b0"))
6265           (property IS_C_INVERTED (string "1'b0"))
6266           (property IS_D_INVERTED (string "1'b0"))
6267           (property box_type (string "PRIMITIVE"))
6268         )
6269         (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[29].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6270           (property INIT (string "1'b0"))
6271           (property IS_CLR_INVERTED (string "1'b0"))
6272           (property IS_C_INVERTED (string "1'b0"))
6273           (property IS_D_INVERTED (string "1'b0"))
6274           (property box_type (string "PRIMITIVE"))
6275         )
6276         (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[2].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6277           (property INIT (string "1'b0"))
6278           (property IS_CLR_INVERTED (string "1'b0"))
6279           (property IS_C_INVERTED (string "1'b0"))
6280           (property IS_D_INVERTED (string "1'b0"))
6281           (property box_type (string "PRIMITIVE"))
6282         )
6283         (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[30].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6284           (property INIT (string "1'b0"))
6285           (property IS_CLR_INVERTED (string "1'b0"))
6286           (property IS_C_INVERTED (string "1'b0"))
6287           (property IS_D_INVERTED (string "1'b0"))
6288           (property box_type (string "PRIMITIVE"))
6289         )
6290         (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[3].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6291           (property INIT (string "1'b0"))
6292           (property IS_CLR_INVERTED (string "1'b0"))
6293           (property IS_C_INVERTED (string "1'b0"))
6294           (property IS_D_INVERTED (string "1'b0"))
6295           (property box_type (string "PRIMITIVE"))
6296         )
6297         (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[4].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6298           (property INIT (string "1'b0"))
6299           (property IS_CLR_INVERTED (string "1'b0"))
6300           (property IS_C_INVERTED (string "1'b0"))
6301           (property IS_D_INVERTED (string "1'b0"))
6302           (property box_type (string "PRIMITIVE"))
6303         )
6304         (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[5].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6305           (property INIT (string "1'b0"))
6306           (property IS_CLR_INVERTED (string "1'b0"))
6307           (property IS_C_INVERTED (string "1'b0"))
6308           (property IS_D_INVERTED (string "1'b0"))
6309           (property box_type (string "PRIMITIVE"))
6310         )
6311         (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[6].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6312           (property INIT (string "1'b0"))
6313           (property IS_CLR_INVERTED (string "1'b0"))
6314           (property IS_C_INVERTED (string "1'b0"))
6315           (property IS_D_INVERTED (string "1'b0"))
6316           (property box_type (string "PRIMITIVE"))
6317         )
6318         (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[7].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6319           (property INIT (string "1'b0"))
6320           (property IS_CLR_INVERTED (string "1'b0"))
6321           (property IS_C_INVERTED (string "1'b0"))
6322           (property IS_D_INVERTED (string "1'b0"))
6323           (property box_type (string "PRIMITIVE"))
6324         )
6325         (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[8].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6326           (property INIT (string "1'b0"))
6327           (property IS_CLR_INVERTED (string "1'b0"))
6328           (property IS_C_INVERTED (string "1'b0"))
6329           (property IS_D_INVERTED (string "1'b0"))
6330           (property box_type (string "PRIMITIVE"))
6331         )
6332         (instance (rename SpCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx "SpCntHs/BlkOut.ODataFlop/GenFlops[9].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6333           (property INIT (string "1'b0"))
6334           (property IS_CLR_INVERTED (string "1'b0"))
6335           (property IS_C_INVERTED (string "1'b0"))
6336           (property IS_D_INVERTED (string "1'b0"))
6337           (property box_type (string "PRIMITIVE"))
6338         )
6339         (instance (rename SpCntHs_BlkOut_oDataValid_reg "SpCntHs/BlkOut.oDataValid_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6340           (property INIT (string "1'b0"))
6341         )
6342         (instance (rename SpCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx "SpCntHs/BlkOut.oPushToggle1x/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6343           (property INIT (string "1'b0"))
6344           (property IS_CLR_INVERTED (string "1'b0"))
6345           (property IS_C_INVERTED (string "1'b0"))
6346           (property IS_D_INVERTED (string "1'b0"))
6347           (property ASYNC_REG (boolean (true)))
6348           (property box_type (string "PRIMITIVE"))
6349         )
6350         (instance (rename SpCntHs_BlkOut_oPushToggle2_reg "SpCntHs/BlkOut.oPushToggle2_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6351           (property INIT (string "1'b0"))
6352         )
6353         (instance (rename SpCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx "SpCntHs/BlkOut.oPushToggle_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6354           (property INIT (string "1'b0"))
6355           (property IS_CLR_INVERTED (string "1'b0"))
6356           (property IS_C_INVERTED (string "1'b0"))
6357           (property IS_D_INVERTED (string "1'b0"))
6358           (property ASYNC_REG (boolean (true)))
6359           (property box_type (string "PRIMITIVE"))
6360         )
6361         (instance (rename SpCntReadbackDsGen_0__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[0].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6362           (property INIT (string "1'b0"))
6363           (property IS_CLR_INVERTED (string "1'b0"))
6364           (property IS_C_INVERTED (string "1'b0"))
6365           (property IS_D_INVERTED (string "1'b0"))
6366           (property ASYNC_REG (boolean (true)))
6367           (property box_type (string "PRIMITIVE"))
6368         )
6369         (instance (rename SpCntReadbackDsGen_0__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[0].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6370           (property INIT (string "1'b0"))
6371           (property IS_CLR_INVERTED (string "1'b0"))
6372           (property IS_C_INVERTED (string "1'b0"))
6373           (property IS_D_INVERTED (string "1'b0"))
6374           (property ASYNC_REG (boolean (true)))
6375           (property box_type (string "PRIMITIVE"))
6376         )
6377         (instance (rename SpCntReadbackDsGen_10__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[10].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6378           (property INIT (string "1'b0"))
6379           (property IS_CLR_INVERTED (string "1'b0"))
6380           (property IS_C_INVERTED (string "1'b0"))
6381           (property IS_D_INVERTED (string "1'b0"))
6382           (property ASYNC_REG (boolean (true)))
6383           (property box_type (string "PRIMITIVE"))
6384         )
6385         (instance (rename SpCntReadbackDsGen_10__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[10].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6386           (property INIT (string "1'b0"))
6387           (property IS_CLR_INVERTED (string "1'b0"))
6388           (property IS_C_INVERTED (string "1'b0"))
6389           (property IS_D_INVERTED (string "1'b0"))
6390           (property ASYNC_REG (boolean (true)))
6391           (property box_type (string "PRIMITIVE"))
6392         )
6393         (instance (rename SpCntReadbackDsGen_11__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[11].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6394           (property INIT (string "1'b0"))
6395           (property IS_CLR_INVERTED (string "1'b0"))
6396           (property IS_C_INVERTED (string "1'b0"))
6397           (property IS_D_INVERTED (string "1'b0"))
6398           (property ASYNC_REG (boolean (true)))
6399           (property box_type (string "PRIMITIVE"))
6400         )
6401         (instance (rename SpCntReadbackDsGen_11__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[11].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6402           (property INIT (string "1'b0"))
6403           (property IS_CLR_INVERTED (string "1'b0"))
6404           (property IS_C_INVERTED (string "1'b0"))
6405           (property IS_D_INVERTED (string "1'b0"))
6406           (property ASYNC_REG (boolean (true)))
6407           (property box_type (string "PRIMITIVE"))
6408         )
6409         (instance (rename SpCntReadbackDsGen_12__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[12].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6410           (property INIT (string "1'b0"))
6411           (property IS_CLR_INVERTED (string "1'b0"))
6412           (property IS_C_INVERTED (string "1'b0"))
6413           (property IS_D_INVERTED (string "1'b0"))
6414           (property ASYNC_REG (boolean (true)))
6415           (property box_type (string "PRIMITIVE"))
6416         )
6417         (instance (rename SpCntReadbackDsGen_12__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[12].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6418           (property INIT (string "1'b0"))
6419           (property IS_CLR_INVERTED (string "1'b0"))
6420           (property IS_C_INVERTED (string "1'b0"))
6421           (property IS_D_INVERTED (string "1'b0"))
6422           (property ASYNC_REG (boolean (true)))
6423           (property box_type (string "PRIMITIVE"))
6424         )
6425         (instance (rename SpCntReadbackDsGen_13__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[13].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6426           (property INIT (string "1'b0"))
6427           (property IS_CLR_INVERTED (string "1'b0"))
6428           (property IS_C_INVERTED (string "1'b0"))
6429           (property IS_D_INVERTED (string "1'b0"))
6430           (property ASYNC_REG (boolean (true)))
6431           (property box_type (string "PRIMITIVE"))
6432         )
6433         (instance (rename SpCntReadbackDsGen_13__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[13].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6434           (property INIT (string "1'b0"))
6435           (property IS_CLR_INVERTED (string "1'b0"))
6436           (property IS_C_INVERTED (string "1'b0"))
6437           (property IS_D_INVERTED (string "1'b0"))
6438           (property ASYNC_REG (boolean (true)))
6439           (property box_type (string "PRIMITIVE"))
6440         )
6441         (instance (rename SpCntReadbackDsGen_14__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[14].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6442           (property INIT (string "1'b0"))
6443           (property IS_CLR_INVERTED (string "1'b0"))
6444           (property IS_C_INVERTED (string "1'b0"))
6445           (property IS_D_INVERTED (string "1'b0"))
6446           (property ASYNC_REG (boolean (true)))
6447           (property box_type (string "PRIMITIVE"))
6448         )
6449         (instance (rename SpCntReadbackDsGen_14__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[14].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6450           (property INIT (string "1'b0"))
6451           (property IS_CLR_INVERTED (string "1'b0"))
6452           (property IS_C_INVERTED (string "1'b0"))
6453           (property IS_D_INVERTED (string "1'b0"))
6454           (property ASYNC_REG (boolean (true)))
6455           (property box_type (string "PRIMITIVE"))
6456         )
6457         (instance (rename SpCntReadbackDsGen_15__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[15].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6458           (property INIT (string "1'b0"))
6459           (property IS_CLR_INVERTED (string "1'b0"))
6460           (property IS_C_INVERTED (string "1'b0"))
6461           (property IS_D_INVERTED (string "1'b0"))
6462           (property ASYNC_REG (boolean (true)))
6463           (property box_type (string "PRIMITIVE"))
6464         )
6465         (instance (rename SpCntReadbackDsGen_15__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[15].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6466           (property INIT (string "1'b0"))
6467           (property IS_CLR_INVERTED (string "1'b0"))
6468           (property IS_C_INVERTED (string "1'b0"))
6469           (property IS_D_INVERTED (string "1'b0"))
6470           (property ASYNC_REG (boolean (true)))
6471           (property box_type (string "PRIMITIVE"))
6472         )
6473         (instance (rename SpCntReadbackDsGen_16__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[16].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6474           (property INIT (string "1'b0"))
6475           (property IS_CLR_INVERTED (string "1'b0"))
6476           (property IS_C_INVERTED (string "1'b0"))
6477           (property IS_D_INVERTED (string "1'b0"))
6478           (property ASYNC_REG (boolean (true)))
6479           (property box_type (string "PRIMITIVE"))
6480         )
6481         (instance (rename SpCntReadbackDsGen_16__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[16].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6482           (property INIT (string "1'b0"))
6483           (property IS_CLR_INVERTED (string "1'b0"))
6484           (property IS_C_INVERTED (string "1'b0"))
6485           (property IS_D_INVERTED (string "1'b0"))
6486           (property ASYNC_REG (boolean (true)))
6487           (property box_type (string "PRIMITIVE"))
6488         )
6489         (instance (rename SpCntReadbackDsGen_17__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[17].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6490           (property INIT (string "1'b0"))
6491           (property IS_CLR_INVERTED (string "1'b0"))
6492           (property IS_C_INVERTED (string "1'b0"))
6493           (property IS_D_INVERTED (string "1'b0"))
6494           (property ASYNC_REG (boolean (true)))
6495           (property box_type (string "PRIMITIVE"))
6496         )
6497         (instance (rename SpCntReadbackDsGen_17__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[17].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6498           (property INIT (string "1'b0"))
6499           (property IS_CLR_INVERTED (string "1'b0"))
6500           (property IS_C_INVERTED (string "1'b0"))
6501           (property IS_D_INVERTED (string "1'b0"))
6502           (property ASYNC_REG (boolean (true)))
6503           (property box_type (string "PRIMITIVE"))
6504         )
6505         (instance (rename SpCntReadbackDsGen_18__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[18].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6506           (property INIT (string "1'b0"))
6507           (property IS_CLR_INVERTED (string "1'b0"))
6508           (property IS_C_INVERTED (string "1'b0"))
6509           (property IS_D_INVERTED (string "1'b0"))
6510           (property ASYNC_REG (boolean (true)))
6511           (property box_type (string "PRIMITIVE"))
6512         )
6513         (instance (rename SpCntReadbackDsGen_18__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[18].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6514           (property INIT (string "1'b0"))
6515           (property IS_CLR_INVERTED (string "1'b0"))
6516           (property IS_C_INVERTED (string "1'b0"))
6517           (property IS_D_INVERTED (string "1'b0"))
6518           (property ASYNC_REG (boolean (true)))
6519           (property box_type (string "PRIMITIVE"))
6520         )
6521         (instance (rename SpCntReadbackDsGen_19__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[19].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6522           (property INIT (string "1'b0"))
6523           (property IS_CLR_INVERTED (string "1'b0"))
6524           (property IS_C_INVERTED (string "1'b0"))
6525           (property IS_D_INVERTED (string "1'b0"))
6526           (property ASYNC_REG (boolean (true)))
6527           (property box_type (string "PRIMITIVE"))
6528         )
6529         (instance (rename SpCntReadbackDsGen_19__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[19].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6530           (property INIT (string "1'b0"))
6531           (property IS_CLR_INVERTED (string "1'b0"))
6532           (property IS_C_INVERTED (string "1'b0"))
6533           (property IS_D_INVERTED (string "1'b0"))
6534           (property ASYNC_REG (boolean (true)))
6535           (property box_type (string "PRIMITIVE"))
6536         )
6537         (instance (rename SpCntReadbackDsGen_1__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[1].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6538           (property INIT (string "1'b0"))
6539           (property IS_CLR_INVERTED (string "1'b0"))
6540           (property IS_C_INVERTED (string "1'b0"))
6541           (property IS_D_INVERTED (string "1'b0"))
6542           (property ASYNC_REG (boolean (true)))
6543           (property box_type (string "PRIMITIVE"))
6544         )
6545         (instance (rename SpCntReadbackDsGen_1__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[1].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6546           (property INIT (string "1'b0"))
6547           (property IS_CLR_INVERTED (string "1'b0"))
6548           (property IS_C_INVERTED (string "1'b0"))
6549           (property IS_D_INVERTED (string "1'b0"))
6550           (property ASYNC_REG (boolean (true)))
6551           (property box_type (string "PRIMITIVE"))
6552         )
6553         (instance (rename SpCntReadbackDsGen_20__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[20].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6554           (property INIT (string "1'b0"))
6555           (property IS_CLR_INVERTED (string "1'b0"))
6556           (property IS_C_INVERTED (string "1'b0"))
6557           (property IS_D_INVERTED (string "1'b0"))
6558           (property ASYNC_REG (boolean (true)))
6559           (property box_type (string "PRIMITIVE"))
6560         )
6561         (instance (rename SpCntReadbackDsGen_20__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[20].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6562           (property INIT (string "1'b0"))
6563           (property IS_CLR_INVERTED (string "1'b0"))
6564           (property IS_C_INVERTED (string "1'b0"))
6565           (property IS_D_INVERTED (string "1'b0"))
6566           (property ASYNC_REG (boolean (true)))
6567           (property box_type (string "PRIMITIVE"))
6568         )
6569         (instance (rename SpCntReadbackDsGen_21__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[21].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6570           (property INIT (string "1'b0"))
6571           (property IS_CLR_INVERTED (string "1'b0"))
6572           (property IS_C_INVERTED (string "1'b0"))
6573           (property IS_D_INVERTED (string "1'b0"))
6574           (property ASYNC_REG (boolean (true)))
6575           (property box_type (string "PRIMITIVE"))
6576         )
6577         (instance (rename SpCntReadbackDsGen_21__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[21].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6578           (property INIT (string "1'b0"))
6579           (property IS_CLR_INVERTED (string "1'b0"))
6580           (property IS_C_INVERTED (string "1'b0"))
6581           (property IS_D_INVERTED (string "1'b0"))
6582           (property ASYNC_REG (boolean (true)))
6583           (property box_type (string "PRIMITIVE"))
6584         )
6585         (instance (rename SpCntReadbackDsGen_22__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[22].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6586           (property INIT (string "1'b0"))
6587           (property IS_CLR_INVERTED (string "1'b0"))
6588           (property IS_C_INVERTED (string "1'b0"))
6589           (property IS_D_INVERTED (string "1'b0"))
6590           (property ASYNC_REG (boolean (true)))
6591           (property box_type (string "PRIMITIVE"))
6592         )
6593         (instance (rename SpCntReadbackDsGen_22__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[22].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6594           (property INIT (string "1'b0"))
6595           (property IS_CLR_INVERTED (string "1'b0"))
6596           (property IS_C_INVERTED (string "1'b0"))
6597           (property IS_D_INVERTED (string "1'b0"))
6598           (property ASYNC_REG (boolean (true)))
6599           (property box_type (string "PRIMITIVE"))
6600         )
6601         (instance (rename SpCntReadbackDsGen_23__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[23].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6602           (property INIT (string "1'b0"))
6603           (property IS_CLR_INVERTED (string "1'b0"))
6604           (property IS_C_INVERTED (string "1'b0"))
6605           (property IS_D_INVERTED (string "1'b0"))
6606           (property ASYNC_REG (boolean (true)))
6607           (property box_type (string "PRIMITIVE"))
6608         )
6609         (instance (rename SpCntReadbackDsGen_23__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[23].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6610           (property INIT (string "1'b0"))
6611           (property IS_CLR_INVERTED (string "1'b0"))
6612           (property IS_C_INVERTED (string "1'b0"))
6613           (property IS_D_INVERTED (string "1'b0"))
6614           (property ASYNC_REG (boolean (true)))
6615           (property box_type (string "PRIMITIVE"))
6616         )
6617         (instance (rename SpCntReadbackDsGen_24__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[24].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6618           (property INIT (string "1'b0"))
6619           (property IS_CLR_INVERTED (string "1'b0"))
6620           (property IS_C_INVERTED (string "1'b0"))
6621           (property IS_D_INVERTED (string "1'b0"))
6622           (property ASYNC_REG (boolean (true)))
6623           (property box_type (string "PRIMITIVE"))
6624         )
6625         (instance (rename SpCntReadbackDsGen_24__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[24].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6626           (property INIT (string "1'b0"))
6627           (property IS_CLR_INVERTED (string "1'b0"))
6628           (property IS_C_INVERTED (string "1'b0"))
6629           (property IS_D_INVERTED (string "1'b0"))
6630           (property ASYNC_REG (boolean (true)))
6631           (property box_type (string "PRIMITIVE"))
6632         )
6633         (instance (rename SpCntReadbackDsGen_25__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[25].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6634           (property INIT (string "1'b0"))
6635           (property IS_CLR_INVERTED (string "1'b0"))
6636           (property IS_C_INVERTED (string "1'b0"))
6637           (property IS_D_INVERTED (string "1'b0"))
6638           (property ASYNC_REG (boolean (true)))
6639           (property box_type (string "PRIMITIVE"))
6640         )
6641         (instance (rename SpCntReadbackDsGen_25__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[25].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6642           (property INIT (string "1'b0"))
6643           (property IS_CLR_INVERTED (string "1'b0"))
6644           (property IS_C_INVERTED (string "1'b0"))
6645           (property IS_D_INVERTED (string "1'b0"))
6646           (property ASYNC_REG (boolean (true)))
6647           (property box_type (string "PRIMITIVE"))
6648         )
6649         (instance (rename SpCntReadbackDsGen_26__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[26].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6650           (property INIT (string "1'b0"))
6651           (property IS_CLR_INVERTED (string "1'b0"))
6652           (property IS_C_INVERTED (string "1'b0"))
6653           (property IS_D_INVERTED (string "1'b0"))
6654           (property ASYNC_REG (boolean (true)))
6655           (property box_type (string "PRIMITIVE"))
6656         )
6657         (instance (rename SpCntReadbackDsGen_26__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[26].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6658           (property INIT (string "1'b0"))
6659           (property IS_CLR_INVERTED (string "1'b0"))
6660           (property IS_C_INVERTED (string "1'b0"))
6661           (property IS_D_INVERTED (string "1'b0"))
6662           (property ASYNC_REG (boolean (true)))
6663           (property box_type (string "PRIMITIVE"))
6664         )
6665         (instance (rename SpCntReadbackDsGen_27__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[27].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6666           (property INIT (string "1'b0"))
6667           (property IS_CLR_INVERTED (string "1'b0"))
6668           (property IS_C_INVERTED (string "1'b0"))
6669           (property IS_D_INVERTED (string "1'b0"))
6670           (property ASYNC_REG (boolean (true)))
6671           (property box_type (string "PRIMITIVE"))
6672         )
6673         (instance (rename SpCntReadbackDsGen_27__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[27].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6674           (property INIT (string "1'b0"))
6675           (property IS_CLR_INVERTED (string "1'b0"))
6676           (property IS_C_INVERTED (string "1'b0"))
6677           (property IS_D_INVERTED (string "1'b0"))
6678           (property ASYNC_REG (boolean (true)))
6679           (property box_type (string "PRIMITIVE"))
6680         )
6681         (instance (rename SpCntReadbackDsGen_28__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[28].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6682           (property INIT (string "1'b0"))
6683           (property IS_CLR_INVERTED (string "1'b0"))
6684           (property IS_C_INVERTED (string "1'b0"))
6685           (property IS_D_INVERTED (string "1'b0"))
6686           (property ASYNC_REG (boolean (true)))
6687           (property box_type (string "PRIMITIVE"))
6688         )
6689         (instance (rename SpCntReadbackDsGen_28__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[28].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6690           (property INIT (string "1'b0"))
6691           (property IS_CLR_INVERTED (string "1'b0"))
6692           (property IS_C_INVERTED (string "1'b0"))
6693           (property IS_D_INVERTED (string "1'b0"))
6694           (property ASYNC_REG (boolean (true)))
6695           (property box_type (string "PRIMITIVE"))
6696         )
6697         (instance (rename SpCntReadbackDsGen_29__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[29].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6698           (property INIT (string "1'b0"))
6699           (property IS_CLR_INVERTED (string "1'b0"))
6700           (property IS_C_INVERTED (string "1'b0"))
6701           (property IS_D_INVERTED (string "1'b0"))
6702           (property ASYNC_REG (boolean (true)))
6703           (property box_type (string "PRIMITIVE"))
6704         )
6705         (instance (rename SpCntReadbackDsGen_29__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[29].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6706           (property INIT (string "1'b0"))
6707           (property IS_CLR_INVERTED (string "1'b0"))
6708           (property IS_C_INVERTED (string "1'b0"))
6709           (property IS_D_INVERTED (string "1'b0"))
6710           (property ASYNC_REG (boolean (true)))
6711           (property box_type (string "PRIMITIVE"))
6712         )
6713         (instance (rename SpCntReadbackDsGen_2__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[2].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6714           (property INIT (string "1'b0"))
6715           (property IS_CLR_INVERTED (string "1'b0"))
6716           (property IS_C_INVERTED (string "1'b0"))
6717           (property IS_D_INVERTED (string "1'b0"))
6718           (property ASYNC_REG (boolean (true)))
6719           (property box_type (string "PRIMITIVE"))
6720         )
6721         (instance (rename SpCntReadbackDsGen_2__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[2].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6722           (property INIT (string "1'b0"))
6723           (property IS_CLR_INVERTED (string "1'b0"))
6724           (property IS_C_INVERTED (string "1'b0"))
6725           (property IS_D_INVERTED (string "1'b0"))
6726           (property ASYNC_REG (boolean (true)))
6727           (property box_type (string "PRIMITIVE"))
6728         )
6729         (instance (rename SpCntReadbackDsGen_30__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[30].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6730           (property INIT (string "1'b0"))
6731           (property IS_CLR_INVERTED (string "1'b0"))
6732           (property IS_C_INVERTED (string "1'b0"))
6733           (property IS_D_INVERTED (string "1'b0"))
6734           (property ASYNC_REG (boolean (true)))
6735           (property box_type (string "PRIMITIVE"))
6736         )
6737         (instance (rename SpCntReadbackDsGen_30__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[30].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6738           (property INIT (string "1'b0"))
6739           (property IS_CLR_INVERTED (string "1'b0"))
6740           (property IS_C_INVERTED (string "1'b0"))
6741           (property IS_D_INVERTED (string "1'b0"))
6742           (property ASYNC_REG (boolean (true)))
6743           (property box_type (string "PRIMITIVE"))
6744         )
6745         (instance (rename SpCntReadbackDsGen_31__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[31].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6746           (property INIT (string "1'b0"))
6747           (property IS_CLR_INVERTED (string "1'b0"))
6748           (property IS_C_INVERTED (string "1'b0"))
6749           (property IS_D_INVERTED (string "1'b0"))
6750           (property ASYNC_REG (boolean (true)))
6751           (property box_type (string "PRIMITIVE"))
6752         )
6753         (instance (rename SpCntReadbackDsGen_31__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[31].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6754           (property INIT (string "1'b0"))
6755           (property IS_CLR_INVERTED (string "1'b0"))
6756           (property IS_C_INVERTED (string "1'b0"))
6757           (property IS_D_INVERTED (string "1'b0"))
6758           (property ASYNC_REG (boolean (true)))
6759           (property box_type (string "PRIMITIVE"))
6760         )
6761         (instance (rename SpCntReadbackDsGen_3__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[3].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6762           (property INIT (string "1'b0"))
6763           (property IS_CLR_INVERTED (string "1'b0"))
6764           (property IS_C_INVERTED (string "1'b0"))
6765           (property IS_D_INVERTED (string "1'b0"))
6766           (property ASYNC_REG (boolean (true)))
6767           (property box_type (string "PRIMITIVE"))
6768         )
6769         (instance (rename SpCntReadbackDsGen_3__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[3].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6770           (property INIT (string "1'b0"))
6771           (property IS_CLR_INVERTED (string "1'b0"))
6772           (property IS_C_INVERTED (string "1'b0"))
6773           (property IS_D_INVERTED (string "1'b0"))
6774           (property ASYNC_REG (boolean (true)))
6775           (property box_type (string "PRIMITIVE"))
6776         )
6777         (instance (rename SpCntReadbackDsGen_4__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[4].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6778           (property INIT (string "1'b0"))
6779           (property IS_CLR_INVERTED (string "1'b0"))
6780           (property IS_C_INVERTED (string "1'b0"))
6781           (property IS_D_INVERTED (string "1'b0"))
6782           (property ASYNC_REG (boolean (true)))
6783           (property box_type (string "PRIMITIVE"))
6784         )
6785         (instance (rename SpCntReadbackDsGen_4__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[4].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6786           (property INIT (string "1'b0"))
6787           (property IS_CLR_INVERTED (string "1'b0"))
6788           (property IS_C_INVERTED (string "1'b0"))
6789           (property IS_D_INVERTED (string "1'b0"))
6790           (property ASYNC_REG (boolean (true)))
6791           (property box_type (string "PRIMITIVE"))
6792         )
6793         (instance (rename SpCntReadbackDsGen_5__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[5].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6794           (property INIT (string "1'b0"))
6795           (property IS_CLR_INVERTED (string "1'b0"))
6796           (property IS_C_INVERTED (string "1'b0"))
6797           (property IS_D_INVERTED (string "1'b0"))
6798           (property ASYNC_REG (boolean (true)))
6799           (property box_type (string "PRIMITIVE"))
6800         )
6801         (instance (rename SpCntReadbackDsGen_5__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[5].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6802           (property INIT (string "1'b0"))
6803           (property IS_CLR_INVERTED (string "1'b0"))
6804           (property IS_C_INVERTED (string "1'b0"))
6805           (property IS_D_INVERTED (string "1'b0"))
6806           (property ASYNC_REG (boolean (true)))
6807           (property box_type (string "PRIMITIVE"))
6808         )
6809         (instance (rename SpCntReadbackDsGen_6__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[6].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6810           (property INIT (string "1'b0"))
6811           (property IS_CLR_INVERTED (string "1'b0"))
6812           (property IS_C_INVERTED (string "1'b0"))
6813           (property IS_D_INVERTED (string "1'b0"))
6814           (property ASYNC_REG (boolean (true)))
6815           (property box_type (string "PRIMITIVE"))
6816         )
6817         (instance (rename SpCntReadbackDsGen_6__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[6].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6818           (property INIT (string "1'b0"))
6819           (property IS_CLR_INVERTED (string "1'b0"))
6820           (property IS_C_INVERTED (string "1'b0"))
6821           (property IS_D_INVERTED (string "1'b0"))
6822           (property ASYNC_REG (boolean (true)))
6823           (property box_type (string "PRIMITIVE"))
6824         )
6825         (instance (rename SpCntReadbackDsGen_7__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[7].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6826           (property INIT (string "1'b0"))
6827           (property IS_CLR_INVERTED (string "1'b0"))
6828           (property IS_C_INVERTED (string "1'b0"))
6829           (property IS_D_INVERTED (string "1'b0"))
6830           (property ASYNC_REG (boolean (true)))
6831           (property box_type (string "PRIMITIVE"))
6832         )
6833         (instance (rename SpCntReadbackDsGen_7__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[7].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6834           (property INIT (string "1'b0"))
6835           (property IS_CLR_INVERTED (string "1'b0"))
6836           (property IS_C_INVERTED (string "1'b0"))
6837           (property IS_D_INVERTED (string "1'b0"))
6838           (property ASYNC_REG (boolean (true)))
6839           (property box_type (string "PRIMITIVE"))
6840         )
6841         (instance (rename SpCntReadbackDsGen_8__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[8].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6842           (property INIT (string "1'b0"))
6843           (property IS_CLR_INVERTED (string "1'b0"))
6844           (property IS_C_INVERTED (string "1'b0"))
6845           (property IS_D_INVERTED (string "1'b0"))
6846           (property ASYNC_REG (boolean (true)))
6847           (property box_type (string "PRIMITIVE"))
6848         )
6849         (instance (rename SpCntReadbackDsGen_8__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[8].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6850           (property INIT (string "1'b0"))
6851           (property IS_CLR_INVERTED (string "1'b0"))
6852           (property IS_C_INVERTED (string "1'b0"))
6853           (property IS_D_INVERTED (string "1'b0"))
6854           (property ASYNC_REG (boolean (true)))
6855           (property box_type (string "PRIMITIVE"))
6856         )
6857         (instance (rename SpCntReadbackDsGen_9__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SpCntReadbackDsGen[9].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6858           (property INIT (string "1'b0"))
6859           (property IS_CLR_INVERTED (string "1'b0"))
6860           (property IS_C_INVERTED (string "1'b0"))
6861           (property IS_D_INVERTED (string "1'b0"))
6862           (property ASYNC_REG (boolean (true)))
6863           (property box_type (string "PRIMITIVE"))
6864         )
6865         (instance (rename SpCntReadbackDsGen_9__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SpCntReadbackDsGen[9].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6866           (property INIT (string "1'b0"))
6867           (property IS_CLR_INVERTED (string "1'b0"))
6868           (property IS_C_INVERTED (string "1'b0"))
6869           (property IS_D_INVERTED (string "1'b0"))
6870           (property ASYNC_REG (boolean (true)))
6871           (property box_type (string "PRIMITIVE"))
6872         )
6873         (instance (rename SptCntHs_BlkIn_iDlyPush_reg "SptCntHs/BlkIn.iDlyPush_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6874           (property INIT (string "1'b0"))
6875         )
6876         (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_0_ "SptCntHs/BlkIn.iLclStoredData_reg[0]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6877           (property INIT (string "1'b0"))
6878         )
6879         (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_10_ "SptCntHs/BlkIn.iLclStoredData_reg[10]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6880           (property INIT (string "1'b0"))
6881         )
6882         (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_11_ "SptCntHs/BlkIn.iLclStoredData_reg[11]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6883           (property INIT (string "1'b0"))
6884         )
6885         (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_12_ "SptCntHs/BlkIn.iLclStoredData_reg[12]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6886           (property INIT (string "1'b0"))
6887         )
6888         (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_13_ "SptCntHs/BlkIn.iLclStoredData_reg[13]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6889           (property INIT (string "1'b0"))
6890         )
6891         (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_14_ "SptCntHs/BlkIn.iLclStoredData_reg[14]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6892           (property INIT (string "1'b0"))
6893         )
6894         (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_15_ "SptCntHs/BlkIn.iLclStoredData_reg[15]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6895           (property INIT (string "1'b0"))
6896         )
6897         (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_16_ "SptCntHs/BlkIn.iLclStoredData_reg[16]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6898           (property INIT (string "1'b0"))
6899         )
6900         (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_17_ "SptCntHs/BlkIn.iLclStoredData_reg[17]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6901           (property INIT (string "1'b0"))
6902         )
6903         (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_18_ "SptCntHs/BlkIn.iLclStoredData_reg[18]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6904           (property INIT (string "1'b0"))
6905         )
6906         (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_19_ "SptCntHs/BlkIn.iLclStoredData_reg[19]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6907           (property INIT (string "1'b0"))
6908         )
6909         (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_1_ "SptCntHs/BlkIn.iLclStoredData_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6910           (property INIT (string "1'b0"))
6911         )
6912         (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_20_ "SptCntHs/BlkIn.iLclStoredData_reg[20]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6913           (property INIT (string "1'b0"))
6914         )
6915         (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_21_ "SptCntHs/BlkIn.iLclStoredData_reg[21]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6916           (property INIT (string "1'b0"))
6917         )
6918         (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_22_ "SptCntHs/BlkIn.iLclStoredData_reg[22]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6919           (property INIT (string "1'b0"))
6920         )
6921         (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_23_ "SptCntHs/BlkIn.iLclStoredData_reg[23]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6922           (property INIT (string "1'b0"))
6923         )
6924         (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_24_ "SptCntHs/BlkIn.iLclStoredData_reg[24]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6925           (property INIT (string "1'b0"))
6926         )
6927         (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_25_ "SptCntHs/BlkIn.iLclStoredData_reg[25]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6928           (property INIT (string "1'b0"))
6929         )
6930         (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_26_ "SptCntHs/BlkIn.iLclStoredData_reg[26]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6931           (property INIT (string "1'b0"))
6932         )
6933         (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_27_ "SptCntHs/BlkIn.iLclStoredData_reg[27]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6934           (property INIT (string "1'b0"))
6935         )
6936         (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_28_ "SptCntHs/BlkIn.iLclStoredData_reg[28]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6937           (property INIT (string "1'b0"))
6938         )
6939         (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_29_ "SptCntHs/BlkIn.iLclStoredData_reg[29]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6940           (property INIT (string "1'b0"))
6941         )
6942         (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_2_ "SptCntHs/BlkIn.iLclStoredData_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6943           (property INIT (string "1'b0"))
6944         )
6945         (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_30_ "SptCntHs/BlkIn.iLclStoredData_reg[30]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6946           (property INIT (string "1'b0"))
6947         )
6948         (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_31_ "SptCntHs/BlkIn.iLclStoredData_reg[31]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6949           (property INIT (string "1'b0"))
6950         )
6951         (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_3_ "SptCntHs/BlkIn.iLclStoredData_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6952           (property INIT (string "1'b0"))
6953         )
6954         (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_4_ "SptCntHs/BlkIn.iLclStoredData_reg[4]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6955           (property INIT (string "1'b0"))
6956         )
6957         (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_5_ "SptCntHs/BlkIn.iLclStoredData_reg[5]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6958           (property INIT (string "1'b0"))
6959         )
6960         (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_6_ "SptCntHs/BlkIn.iLclStoredData_reg[6]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6961           (property INIT (string "1'b0"))
6962         )
6963         (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_7_ "SptCntHs/BlkIn.iLclStoredData_reg[7]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6964           (property INIT (string "1'b0"))
6965         )
6966         (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_8_ "SptCntHs/BlkIn.iLclStoredData_reg[8]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6967           (property INIT (string "1'b0"))
6968         )
6969         (instance (rename SptCntHs_BlkIn_iLclStoredData_reg_9_ "SptCntHs/BlkIn.iLclStoredData_reg[9]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6970           (property INIT (string "1'b0"))
6971         )
6972         (instance (rename SptCntHs_BlkIn_iPushTogglex_Gen0_FDCEx "SptCntHs/BlkIn.iPushTogglex/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6973           (property INIT (string "1'b0"))
6974           (property IS_CLR_INVERTED (string "1'b0"))
6975           (property IS_C_INVERTED (string "1'b0"))
6976           (property IS_D_INVERTED (string "1'b0"))
6977           (property box_type (string "PRIMITIVE"))
6978         )
6979         (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[0].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6980           (property INIT (string "1'b0"))
6981           (property IS_CLR_INVERTED (string "1'b0"))
6982           (property IS_C_INVERTED (string "1'b0"))
6983           (property IS_D_INVERTED (string "1'b0"))
6984           (property box_type (string "PRIMITIVE"))
6985         )
6986         (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[10].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6987           (property INIT (string "1'b0"))
6988           (property IS_CLR_INVERTED (string "1'b0"))
6989           (property IS_C_INVERTED (string "1'b0"))
6990           (property IS_D_INVERTED (string "1'b0"))
6991           (property box_type (string "PRIMITIVE"))
6992         )
6993         (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[11].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
6994           (property INIT (string "1'b0"))
6995           (property IS_CLR_INVERTED (string "1'b0"))
6996           (property IS_C_INVERTED (string "1'b0"))
6997           (property IS_D_INVERTED (string "1'b0"))
6998           (property box_type (string "PRIMITIVE"))
6999         )
7000         (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[12].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7001           (property INIT (string "1'b0"))
7002           (property IS_CLR_INVERTED (string "1'b0"))
7003           (property IS_C_INVERTED (string "1'b0"))
7004           (property IS_D_INVERTED (string "1'b0"))
7005           (property box_type (string "PRIMITIVE"))
7006         )
7007         (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[13].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7008           (property INIT (string "1'b0"))
7009           (property IS_CLR_INVERTED (string "1'b0"))
7010           (property IS_C_INVERTED (string "1'b0"))
7011           (property IS_D_INVERTED (string "1'b0"))
7012           (property box_type (string "PRIMITIVE"))
7013         )
7014         (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[14].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7015           (property INIT (string "1'b0"))
7016           (property IS_CLR_INVERTED (string "1'b0"))
7017           (property IS_C_INVERTED (string "1'b0"))
7018           (property IS_D_INVERTED (string "1'b0"))
7019           (property box_type (string "PRIMITIVE"))
7020         )
7021         (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[15].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7022           (property INIT (string "1'b0"))
7023           (property IS_CLR_INVERTED (string "1'b0"))
7024           (property IS_C_INVERTED (string "1'b0"))
7025           (property IS_D_INVERTED (string "1'b0"))
7026           (property box_type (string "PRIMITIVE"))
7027         )
7028         (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[16].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7029           (property INIT (string "1'b0"))
7030           (property IS_CLR_INVERTED (string "1'b0"))
7031           (property IS_C_INVERTED (string "1'b0"))
7032           (property IS_D_INVERTED (string "1'b0"))
7033           (property box_type (string "PRIMITIVE"))
7034         )
7035         (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[17].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7036           (property INIT (string "1'b0"))
7037           (property IS_CLR_INVERTED (string "1'b0"))
7038           (property IS_C_INVERTED (string "1'b0"))
7039           (property IS_D_INVERTED (string "1'b0"))
7040           (property box_type (string "PRIMITIVE"))
7041         )
7042         (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[18].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7043           (property INIT (string "1'b0"))
7044           (property IS_CLR_INVERTED (string "1'b0"))
7045           (property IS_C_INVERTED (string "1'b0"))
7046           (property IS_D_INVERTED (string "1'b0"))
7047           (property box_type (string "PRIMITIVE"))
7048         )
7049         (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[19].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7050           (property INIT (string "1'b0"))
7051           (property IS_CLR_INVERTED (string "1'b0"))
7052           (property IS_C_INVERTED (string "1'b0"))
7053           (property IS_D_INVERTED (string "1'b0"))
7054           (property box_type (string "PRIMITIVE"))
7055         )
7056         (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[1].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7057           (property INIT (string "1'b0"))
7058           (property IS_CLR_INVERTED (string "1'b0"))
7059           (property IS_C_INVERTED (string "1'b0"))
7060           (property IS_D_INVERTED (string "1'b0"))
7061           (property box_type (string "PRIMITIVE"))
7062         )
7063         (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[20].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7064           (property INIT (string "1'b0"))
7065           (property IS_CLR_INVERTED (string "1'b0"))
7066           (property IS_C_INVERTED (string "1'b0"))
7067           (property IS_D_INVERTED (string "1'b0"))
7068           (property box_type (string "PRIMITIVE"))
7069         )
7070         (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[21].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7071           (property INIT (string "1'b0"))
7072           (property IS_CLR_INVERTED (string "1'b0"))
7073           (property IS_C_INVERTED (string "1'b0"))
7074           (property IS_D_INVERTED (string "1'b0"))
7075           (property box_type (string "PRIMITIVE"))
7076         )
7077         (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[22].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7078           (property INIT (string "1'b0"))
7079           (property IS_CLR_INVERTED (string "1'b0"))
7080           (property IS_C_INVERTED (string "1'b0"))
7081           (property IS_D_INVERTED (string "1'b0"))
7082           (property box_type (string "PRIMITIVE"))
7083         )
7084         (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[23].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7085           (property INIT (string "1'b0"))
7086           (property IS_CLR_INVERTED (string "1'b0"))
7087           (property IS_C_INVERTED (string "1'b0"))
7088           (property IS_D_INVERTED (string "1'b0"))
7089           (property box_type (string "PRIMITIVE"))
7090         )
7091         (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[24].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7092           (property INIT (string "1'b0"))
7093           (property IS_CLR_INVERTED (string "1'b0"))
7094           (property IS_C_INVERTED (string "1'b0"))
7095           (property IS_D_INVERTED (string "1'b0"))
7096           (property box_type (string "PRIMITIVE"))
7097         )
7098         (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[25].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7099           (property INIT (string "1'b0"))
7100           (property IS_CLR_INVERTED (string "1'b0"))
7101           (property IS_C_INVERTED (string "1'b0"))
7102           (property IS_D_INVERTED (string "1'b0"))
7103           (property box_type (string "PRIMITIVE"))
7104         )
7105         (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[26].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7106           (property INIT (string "1'b0"))
7107           (property IS_CLR_INVERTED (string "1'b0"))
7108           (property IS_C_INVERTED (string "1'b0"))
7109           (property IS_D_INVERTED (string "1'b0"))
7110           (property box_type (string "PRIMITIVE"))
7111         )
7112         (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[27].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7113           (property INIT (string "1'b0"))
7114           (property IS_CLR_INVERTED (string "1'b0"))
7115           (property IS_C_INVERTED (string "1'b0"))
7116           (property IS_D_INVERTED (string "1'b0"))
7117           (property box_type (string "PRIMITIVE"))
7118         )
7119         (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[28].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7120           (property INIT (string "1'b0"))
7121           (property IS_CLR_INVERTED (string "1'b0"))
7122           (property IS_C_INVERTED (string "1'b0"))
7123           (property IS_D_INVERTED (string "1'b0"))
7124           (property box_type (string "PRIMITIVE"))
7125         )
7126         (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[29].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7127           (property INIT (string "1'b0"))
7128           (property IS_CLR_INVERTED (string "1'b0"))
7129           (property IS_C_INVERTED (string "1'b0"))
7130           (property IS_D_INVERTED (string "1'b0"))
7131           (property box_type (string "PRIMITIVE"))
7132         )
7133         (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[2].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7134           (property INIT (string "1'b0"))
7135           (property IS_CLR_INVERTED (string "1'b0"))
7136           (property IS_C_INVERTED (string "1'b0"))
7137           (property IS_D_INVERTED (string "1'b0"))
7138           (property box_type (string "PRIMITIVE"))
7139         )
7140         (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[30].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7141           (property INIT (string "1'b0"))
7142           (property IS_CLR_INVERTED (string "1'b0"))
7143           (property IS_C_INVERTED (string "1'b0"))
7144           (property IS_D_INVERTED (string "1'b0"))
7145           (property box_type (string "PRIMITIVE"))
7146         )
7147         (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[3].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7148           (property INIT (string "1'b0"))
7149           (property IS_CLR_INVERTED (string "1'b0"))
7150           (property IS_C_INVERTED (string "1'b0"))
7151           (property IS_D_INVERTED (string "1'b0"))
7152           (property box_type (string "PRIMITIVE"))
7153         )
7154         (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[4].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7155           (property INIT (string "1'b0"))
7156           (property IS_CLR_INVERTED (string "1'b0"))
7157           (property IS_C_INVERTED (string "1'b0"))
7158           (property IS_D_INVERTED (string "1'b0"))
7159           (property box_type (string "PRIMITIVE"))
7160         )
7161         (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[5].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7162           (property INIT (string "1'b0"))
7163           (property IS_CLR_INVERTED (string "1'b0"))
7164           (property IS_C_INVERTED (string "1'b0"))
7165           (property IS_D_INVERTED (string "1'b0"))
7166           (property box_type (string "PRIMITIVE"))
7167         )
7168         (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[6].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7169           (property INIT (string "1'b0"))
7170           (property IS_CLR_INVERTED (string "1'b0"))
7171           (property IS_C_INVERTED (string "1'b0"))
7172           (property IS_D_INVERTED (string "1'b0"))
7173           (property box_type (string "PRIMITIVE"))
7174         )
7175         (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[7].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7176           (property INIT (string "1'b0"))
7177           (property IS_CLR_INVERTED (string "1'b0"))
7178           (property IS_C_INVERTED (string "1'b0"))
7179           (property IS_D_INVERTED (string "1'b0"))
7180           (property box_type (string "PRIMITIVE"))
7181         )
7182         (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[8].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7183           (property INIT (string "1'b0"))
7184           (property IS_CLR_INVERTED (string "1'b0"))
7185           (property IS_C_INVERTED (string "1'b0"))
7186           (property IS_D_INVERTED (string "1'b0"))
7187           (property box_type (string "PRIMITIVE"))
7188         )
7189         (instance (rename SptCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx "SptCntHs/BlkOut.ODataFlop/GenFlops[9].DFlopx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7190           (property INIT (string "1'b0"))
7191           (property IS_CLR_INVERTED (string "1'b0"))
7192           (property IS_C_INVERTED (string "1'b0"))
7193           (property IS_D_INVERTED (string "1'b0"))
7194           (property box_type (string "PRIMITIVE"))
7195         )
7196         (instance (rename SptCntHs_BlkOut_oDataValid_reg "SptCntHs/BlkOut.oDataValid_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7197           (property INIT (string "1'b0"))
7198         )
7199         (instance (rename SptCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx "SptCntHs/BlkOut.oPushToggle1x/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7200           (property INIT (string "1'b0"))
7201           (property IS_CLR_INVERTED (string "1'b0"))
7202           (property IS_C_INVERTED (string "1'b0"))
7203           (property IS_D_INVERTED (string "1'b0"))
7204           (property ASYNC_REG (boolean (true)))
7205           (property box_type (string "PRIMITIVE"))
7206         )
7207         (instance (rename SptCntHs_BlkOut_oPushToggle2_reg "SptCntHs/BlkOut.oPushToggle2_reg") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7208           (property INIT (string "1'b0"))
7209         )
7210         (instance (rename SptCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx "SptCntHs/BlkOut.oPushToggle_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7211           (property INIT (string "1'b0"))
7212           (property IS_CLR_INVERTED (string "1'b0"))
7213           (property IS_C_INVERTED (string "1'b0"))
7214           (property IS_D_INVERTED (string "1'b0"))
7215           (property ASYNC_REG (boolean (true)))
7216           (property box_type (string "PRIMITIVE"))
7217         )
7218         (instance (rename SptCntReadbackDsGen_0__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[0].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7219           (property INIT (string "1'b0"))
7220           (property IS_CLR_INVERTED (string "1'b0"))
7221           (property IS_C_INVERTED (string "1'b0"))
7222           (property IS_D_INVERTED (string "1'b0"))
7223           (property ASYNC_REG (boolean (true)))
7224           (property box_type (string "PRIMITIVE"))
7225         )
7226         (instance (rename SptCntReadbackDsGen_0__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[0].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7227           (property INIT (string "1'b0"))
7228           (property IS_CLR_INVERTED (string "1'b0"))
7229           (property IS_C_INVERTED (string "1'b0"))
7230           (property IS_D_INVERTED (string "1'b0"))
7231           (property ASYNC_REG (boolean (true)))
7232           (property box_type (string "PRIMITIVE"))
7233         )
7234         (instance (rename SptCntReadbackDsGen_10__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[10].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7235           (property INIT (string "1'b0"))
7236           (property IS_CLR_INVERTED (string "1'b0"))
7237           (property IS_C_INVERTED (string "1'b0"))
7238           (property IS_D_INVERTED (string "1'b0"))
7239           (property ASYNC_REG (boolean (true)))
7240           (property box_type (string "PRIMITIVE"))
7241         )
7242         (instance (rename SptCntReadbackDsGen_10__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[10].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7243           (property INIT (string "1'b0"))
7244           (property IS_CLR_INVERTED (string "1'b0"))
7245           (property IS_C_INVERTED (string "1'b0"))
7246           (property IS_D_INVERTED (string "1'b0"))
7247           (property ASYNC_REG (boolean (true)))
7248           (property box_type (string "PRIMITIVE"))
7249         )
7250         (instance (rename SptCntReadbackDsGen_11__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[11].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7251           (property INIT (string "1'b0"))
7252           (property IS_CLR_INVERTED (string "1'b0"))
7253           (property IS_C_INVERTED (string "1'b0"))
7254           (property IS_D_INVERTED (string "1'b0"))
7255           (property ASYNC_REG (boolean (true)))
7256           (property box_type (string "PRIMITIVE"))
7257         )
7258         (instance (rename SptCntReadbackDsGen_11__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[11].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7259           (property INIT (string "1'b0"))
7260           (property IS_CLR_INVERTED (string "1'b0"))
7261           (property IS_C_INVERTED (string "1'b0"))
7262           (property IS_D_INVERTED (string "1'b0"))
7263           (property ASYNC_REG (boolean (true)))
7264           (property box_type (string "PRIMITIVE"))
7265         )
7266         (instance (rename SptCntReadbackDsGen_12__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[12].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7267           (property INIT (string "1'b0"))
7268           (property IS_CLR_INVERTED (string "1'b0"))
7269           (property IS_C_INVERTED (string "1'b0"))
7270           (property IS_D_INVERTED (string "1'b0"))
7271           (property ASYNC_REG (boolean (true)))
7272           (property box_type (string "PRIMITIVE"))
7273         )
7274         (instance (rename SptCntReadbackDsGen_12__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[12].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7275           (property INIT (string "1'b0"))
7276           (property IS_CLR_INVERTED (string "1'b0"))
7277           (property IS_C_INVERTED (string "1'b0"))
7278           (property IS_D_INVERTED (string "1'b0"))
7279           (property ASYNC_REG (boolean (true)))
7280           (property box_type (string "PRIMITIVE"))
7281         )
7282         (instance (rename SptCntReadbackDsGen_13__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[13].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7283           (property INIT (string "1'b0"))
7284           (property IS_CLR_INVERTED (string "1'b0"))
7285           (property IS_C_INVERTED (string "1'b0"))
7286           (property IS_D_INVERTED (string "1'b0"))
7287           (property ASYNC_REG (boolean (true)))
7288           (property box_type (string "PRIMITIVE"))
7289         )
7290         (instance (rename SptCntReadbackDsGen_13__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[13].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7291           (property INIT (string "1'b0"))
7292           (property IS_CLR_INVERTED (string "1'b0"))
7293           (property IS_C_INVERTED (string "1'b0"))
7294           (property IS_D_INVERTED (string "1'b0"))
7295           (property ASYNC_REG (boolean (true)))
7296           (property box_type (string "PRIMITIVE"))
7297         )
7298         (instance (rename SptCntReadbackDsGen_14__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[14].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7299           (property INIT (string "1'b0"))
7300           (property IS_CLR_INVERTED (string "1'b0"))
7301           (property IS_C_INVERTED (string "1'b0"))
7302           (property IS_D_INVERTED (string "1'b0"))
7303           (property ASYNC_REG (boolean (true)))
7304           (property box_type (string "PRIMITIVE"))
7305         )
7306         (instance (rename SptCntReadbackDsGen_14__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[14].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7307           (property INIT (string "1'b0"))
7308           (property IS_CLR_INVERTED (string "1'b0"))
7309           (property IS_C_INVERTED (string "1'b0"))
7310           (property IS_D_INVERTED (string "1'b0"))
7311           (property ASYNC_REG (boolean (true)))
7312           (property box_type (string "PRIMITIVE"))
7313         )
7314         (instance (rename SptCntReadbackDsGen_15__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[15].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7315           (property INIT (string "1'b0"))
7316           (property IS_CLR_INVERTED (string "1'b0"))
7317           (property IS_C_INVERTED (string "1'b0"))
7318           (property IS_D_INVERTED (string "1'b0"))
7319           (property ASYNC_REG (boolean (true)))
7320           (property box_type (string "PRIMITIVE"))
7321         )
7322         (instance (rename SptCntReadbackDsGen_15__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[15].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7323           (property INIT (string "1'b0"))
7324           (property IS_CLR_INVERTED (string "1'b0"))
7325           (property IS_C_INVERTED (string "1'b0"))
7326           (property IS_D_INVERTED (string "1'b0"))
7327           (property ASYNC_REG (boolean (true)))
7328           (property box_type (string "PRIMITIVE"))
7329         )
7330         (instance (rename SptCntReadbackDsGen_16__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[16].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7331           (property INIT (string "1'b0"))
7332           (property IS_CLR_INVERTED (string "1'b0"))
7333           (property IS_C_INVERTED (string "1'b0"))
7334           (property IS_D_INVERTED (string "1'b0"))
7335           (property ASYNC_REG (boolean (true)))
7336           (property box_type (string "PRIMITIVE"))
7337         )
7338         (instance (rename SptCntReadbackDsGen_16__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[16].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7339           (property INIT (string "1'b0"))
7340           (property IS_CLR_INVERTED (string "1'b0"))
7341           (property IS_C_INVERTED (string "1'b0"))
7342           (property IS_D_INVERTED (string "1'b0"))
7343           (property ASYNC_REG (boolean (true)))
7344           (property box_type (string "PRIMITIVE"))
7345         )
7346         (instance (rename SptCntReadbackDsGen_17__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[17].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7347           (property INIT (string "1'b0"))
7348           (property IS_CLR_INVERTED (string "1'b0"))
7349           (property IS_C_INVERTED (string "1'b0"))
7350           (property IS_D_INVERTED (string "1'b0"))
7351           (property ASYNC_REG (boolean (true)))
7352           (property box_type (string "PRIMITIVE"))
7353         )
7354         (instance (rename SptCntReadbackDsGen_17__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[17].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7355           (property INIT (string "1'b0"))
7356           (property IS_CLR_INVERTED (string "1'b0"))
7357           (property IS_C_INVERTED (string "1'b0"))
7358           (property IS_D_INVERTED (string "1'b0"))
7359           (property ASYNC_REG (boolean (true)))
7360           (property box_type (string "PRIMITIVE"))
7361         )
7362         (instance (rename SptCntReadbackDsGen_18__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[18].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7363           (property INIT (string "1'b0"))
7364           (property IS_CLR_INVERTED (string "1'b0"))
7365           (property IS_C_INVERTED (string "1'b0"))
7366           (property IS_D_INVERTED (string "1'b0"))
7367           (property ASYNC_REG (boolean (true)))
7368           (property box_type (string "PRIMITIVE"))
7369         )
7370         (instance (rename SptCntReadbackDsGen_18__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[18].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7371           (property INIT (string "1'b0"))
7372           (property IS_CLR_INVERTED (string "1'b0"))
7373           (property IS_C_INVERTED (string "1'b0"))
7374           (property IS_D_INVERTED (string "1'b0"))
7375           (property ASYNC_REG (boolean (true)))
7376           (property box_type (string "PRIMITIVE"))
7377         )
7378         (instance (rename SptCntReadbackDsGen_19__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[19].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7379           (property INIT (string "1'b0"))
7380           (property IS_CLR_INVERTED (string "1'b0"))
7381           (property IS_C_INVERTED (string "1'b0"))
7382           (property IS_D_INVERTED (string "1'b0"))
7383           (property ASYNC_REG (boolean (true)))
7384           (property box_type (string "PRIMITIVE"))
7385         )
7386         (instance (rename SptCntReadbackDsGen_19__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[19].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7387           (property INIT (string "1'b0"))
7388           (property IS_CLR_INVERTED (string "1'b0"))
7389           (property IS_C_INVERTED (string "1'b0"))
7390           (property IS_D_INVERTED (string "1'b0"))
7391           (property ASYNC_REG (boolean (true)))
7392           (property box_type (string "PRIMITIVE"))
7393         )
7394         (instance (rename SptCntReadbackDsGen_1__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[1].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7395           (property INIT (string "1'b0"))
7396           (property IS_CLR_INVERTED (string "1'b0"))
7397           (property IS_C_INVERTED (string "1'b0"))
7398           (property IS_D_INVERTED (string "1'b0"))
7399           (property ASYNC_REG (boolean (true)))
7400           (property box_type (string "PRIMITIVE"))
7401         )
7402         (instance (rename SptCntReadbackDsGen_1__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[1].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7403           (property INIT (string "1'b0"))
7404           (property IS_CLR_INVERTED (string "1'b0"))
7405           (property IS_C_INVERTED (string "1'b0"))
7406           (property IS_D_INVERTED (string "1'b0"))
7407           (property ASYNC_REG (boolean (true)))
7408           (property box_type (string "PRIMITIVE"))
7409         )
7410         (instance (rename SptCntReadbackDsGen_20__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[20].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7411           (property INIT (string "1'b0"))
7412           (property IS_CLR_INVERTED (string "1'b0"))
7413           (property IS_C_INVERTED (string "1'b0"))
7414           (property IS_D_INVERTED (string "1'b0"))
7415           (property ASYNC_REG (boolean (true)))
7416           (property box_type (string "PRIMITIVE"))
7417         )
7418         (instance (rename SptCntReadbackDsGen_20__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[20].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7419           (property INIT (string "1'b0"))
7420           (property IS_CLR_INVERTED (string "1'b0"))
7421           (property IS_C_INVERTED (string "1'b0"))
7422           (property IS_D_INVERTED (string "1'b0"))
7423           (property ASYNC_REG (boolean (true)))
7424           (property box_type (string "PRIMITIVE"))
7425         )
7426         (instance (rename SptCntReadbackDsGen_21__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[21].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7427           (property INIT (string "1'b0"))
7428           (property IS_CLR_INVERTED (string "1'b0"))
7429           (property IS_C_INVERTED (string "1'b0"))
7430           (property IS_D_INVERTED (string "1'b0"))
7431           (property ASYNC_REG (boolean (true)))
7432           (property box_type (string "PRIMITIVE"))
7433         )
7434         (instance (rename SptCntReadbackDsGen_21__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[21].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7435           (property INIT (string "1'b0"))
7436           (property IS_CLR_INVERTED (string "1'b0"))
7437           (property IS_C_INVERTED (string "1'b0"))
7438           (property IS_D_INVERTED (string "1'b0"))
7439           (property ASYNC_REG (boolean (true)))
7440           (property box_type (string "PRIMITIVE"))
7441         )
7442         (instance (rename SptCntReadbackDsGen_22__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[22].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7443           (property INIT (string "1'b0"))
7444           (property IS_CLR_INVERTED (string "1'b0"))
7445           (property IS_C_INVERTED (string "1'b0"))
7446           (property IS_D_INVERTED (string "1'b0"))
7447           (property ASYNC_REG (boolean (true)))
7448           (property box_type (string "PRIMITIVE"))
7449         )
7450         (instance (rename SptCntReadbackDsGen_22__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[22].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7451           (property INIT (string "1'b0"))
7452           (property IS_CLR_INVERTED (string "1'b0"))
7453           (property IS_C_INVERTED (string "1'b0"))
7454           (property IS_D_INVERTED (string "1'b0"))
7455           (property ASYNC_REG (boolean (true)))
7456           (property box_type (string "PRIMITIVE"))
7457         )
7458         (instance (rename SptCntReadbackDsGen_23__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[23].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7459           (property INIT (string "1'b0"))
7460           (property IS_CLR_INVERTED (string "1'b0"))
7461           (property IS_C_INVERTED (string "1'b0"))
7462           (property IS_D_INVERTED (string "1'b0"))
7463           (property ASYNC_REG (boolean (true)))
7464           (property box_type (string "PRIMITIVE"))
7465         )
7466         (instance (rename SptCntReadbackDsGen_23__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[23].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7467           (property INIT (string "1'b0"))
7468           (property IS_CLR_INVERTED (string "1'b0"))
7469           (property IS_C_INVERTED (string "1'b0"))
7470           (property IS_D_INVERTED (string "1'b0"))
7471           (property ASYNC_REG (boolean (true)))
7472           (property box_type (string "PRIMITIVE"))
7473         )
7474         (instance (rename SptCntReadbackDsGen_24__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[24].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7475           (property INIT (string "1'b0"))
7476           (property IS_CLR_INVERTED (string "1'b0"))
7477           (property IS_C_INVERTED (string "1'b0"))
7478           (property IS_D_INVERTED (string "1'b0"))
7479           (property ASYNC_REG (boolean (true)))
7480           (property box_type (string "PRIMITIVE"))
7481         )
7482         (instance (rename SptCntReadbackDsGen_24__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[24].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7483           (property INIT (string "1'b0"))
7484           (property IS_CLR_INVERTED (string "1'b0"))
7485           (property IS_C_INVERTED (string "1'b0"))
7486           (property IS_D_INVERTED (string "1'b0"))
7487           (property ASYNC_REG (boolean (true)))
7488           (property box_type (string "PRIMITIVE"))
7489         )
7490         (instance (rename SptCntReadbackDsGen_25__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[25].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7491           (property INIT (string "1'b0"))
7492           (property IS_CLR_INVERTED (string "1'b0"))
7493           (property IS_C_INVERTED (string "1'b0"))
7494           (property IS_D_INVERTED (string "1'b0"))
7495           (property ASYNC_REG (boolean (true)))
7496           (property box_type (string "PRIMITIVE"))
7497         )
7498         (instance (rename SptCntReadbackDsGen_25__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[25].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7499           (property INIT (string "1'b0"))
7500           (property IS_CLR_INVERTED (string "1'b0"))
7501           (property IS_C_INVERTED (string "1'b0"))
7502           (property IS_D_INVERTED (string "1'b0"))
7503           (property ASYNC_REG (boolean (true)))
7504           (property box_type (string "PRIMITIVE"))
7505         )
7506         (instance (rename SptCntReadbackDsGen_26__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[26].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7507           (property INIT (string "1'b0"))
7508           (property IS_CLR_INVERTED (string "1'b0"))
7509           (property IS_C_INVERTED (string "1'b0"))
7510           (property IS_D_INVERTED (string "1'b0"))
7511           (property ASYNC_REG (boolean (true)))
7512           (property box_type (string "PRIMITIVE"))
7513         )
7514         (instance (rename SptCntReadbackDsGen_26__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[26].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7515           (property INIT (string "1'b0"))
7516           (property IS_CLR_INVERTED (string "1'b0"))
7517           (property IS_C_INVERTED (string "1'b0"))
7518           (property IS_D_INVERTED (string "1'b0"))
7519           (property ASYNC_REG (boolean (true)))
7520           (property box_type (string "PRIMITIVE"))
7521         )
7522         (instance (rename SptCntReadbackDsGen_27__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[27].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7523           (property INIT (string "1'b0"))
7524           (property IS_CLR_INVERTED (string "1'b0"))
7525           (property IS_C_INVERTED (string "1'b0"))
7526           (property IS_D_INVERTED (string "1'b0"))
7527           (property ASYNC_REG (boolean (true)))
7528           (property box_type (string "PRIMITIVE"))
7529         )
7530         (instance (rename SptCntReadbackDsGen_27__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[27].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7531           (property INIT (string "1'b0"))
7532           (property IS_CLR_INVERTED (string "1'b0"))
7533           (property IS_C_INVERTED (string "1'b0"))
7534           (property IS_D_INVERTED (string "1'b0"))
7535           (property ASYNC_REG (boolean (true)))
7536           (property box_type (string "PRIMITIVE"))
7537         )
7538         (instance (rename SptCntReadbackDsGen_28__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[28].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7539           (property INIT (string "1'b0"))
7540           (property IS_CLR_INVERTED (string "1'b0"))
7541           (property IS_C_INVERTED (string "1'b0"))
7542           (property IS_D_INVERTED (string "1'b0"))
7543           (property ASYNC_REG (boolean (true)))
7544           (property box_type (string "PRIMITIVE"))
7545         )
7546         (instance (rename SptCntReadbackDsGen_28__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[28].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7547           (property INIT (string "1'b0"))
7548           (property IS_CLR_INVERTED (string "1'b0"))
7549           (property IS_C_INVERTED (string "1'b0"))
7550           (property IS_D_INVERTED (string "1'b0"))
7551           (property ASYNC_REG (boolean (true)))
7552           (property box_type (string "PRIMITIVE"))
7553         )
7554         (instance (rename SptCntReadbackDsGen_29__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[29].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7555           (property INIT (string "1'b0"))
7556           (property IS_CLR_INVERTED (string "1'b0"))
7557           (property IS_C_INVERTED (string "1'b0"))
7558           (property IS_D_INVERTED (string "1'b0"))
7559           (property ASYNC_REG (boolean (true)))
7560           (property box_type (string "PRIMITIVE"))
7561         )
7562         (instance (rename SptCntReadbackDsGen_29__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[29].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7563           (property INIT (string "1'b0"))
7564           (property IS_CLR_INVERTED (string "1'b0"))
7565           (property IS_C_INVERTED (string "1'b0"))
7566           (property IS_D_INVERTED (string "1'b0"))
7567           (property ASYNC_REG (boolean (true)))
7568           (property box_type (string "PRIMITIVE"))
7569         )
7570         (instance (rename SptCntReadbackDsGen_2__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[2].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7571           (property INIT (string "1'b0"))
7572           (property IS_CLR_INVERTED (string "1'b0"))
7573           (property IS_C_INVERTED (string "1'b0"))
7574           (property IS_D_INVERTED (string "1'b0"))
7575           (property ASYNC_REG (boolean (true)))
7576           (property box_type (string "PRIMITIVE"))
7577         )
7578         (instance (rename SptCntReadbackDsGen_2__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[2].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7579           (property INIT (string "1'b0"))
7580           (property IS_CLR_INVERTED (string "1'b0"))
7581           (property IS_C_INVERTED (string "1'b0"))
7582           (property IS_D_INVERTED (string "1'b0"))
7583           (property ASYNC_REG (boolean (true)))
7584           (property box_type (string "PRIMITIVE"))
7585         )
7586         (instance (rename SptCntReadbackDsGen_30__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[30].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7587           (property INIT (string "1'b0"))
7588           (property IS_CLR_INVERTED (string "1'b0"))
7589           (property IS_C_INVERTED (string "1'b0"))
7590           (property IS_D_INVERTED (string "1'b0"))
7591           (property ASYNC_REG (boolean (true)))
7592           (property box_type (string "PRIMITIVE"))
7593         )
7594         (instance (rename SptCntReadbackDsGen_30__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[30].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7595           (property INIT (string "1'b0"))
7596           (property IS_CLR_INVERTED (string "1'b0"))
7597           (property IS_C_INVERTED (string "1'b0"))
7598           (property IS_D_INVERTED (string "1'b0"))
7599           (property ASYNC_REG (boolean (true)))
7600           (property box_type (string "PRIMITIVE"))
7601         )
7602         (instance (rename SptCntReadbackDsGen_31__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[31].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7603           (property INIT (string "1'b0"))
7604           (property IS_CLR_INVERTED (string "1'b0"))
7605           (property IS_C_INVERTED (string "1'b0"))
7606           (property IS_D_INVERTED (string "1'b0"))
7607           (property ASYNC_REG (boolean (true)))
7608           (property box_type (string "PRIMITIVE"))
7609         )
7610         (instance (rename SptCntReadbackDsGen_31__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[31].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7611           (property INIT (string "1'b0"))
7612           (property IS_CLR_INVERTED (string "1'b0"))
7613           (property IS_C_INVERTED (string "1'b0"))
7614           (property IS_D_INVERTED (string "1'b0"))
7615           (property ASYNC_REG (boolean (true)))
7616           (property box_type (string "PRIMITIVE"))
7617         )
7618         (instance (rename SptCntReadbackDsGen_3__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[3].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7619           (property INIT (string "1'b0"))
7620           (property IS_CLR_INVERTED (string "1'b0"))
7621           (property IS_C_INVERTED (string "1'b0"))
7622           (property IS_D_INVERTED (string "1'b0"))
7623           (property ASYNC_REG (boolean (true)))
7624           (property box_type (string "PRIMITIVE"))
7625         )
7626         (instance (rename SptCntReadbackDsGen_3__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[3].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7627           (property INIT (string "1'b0"))
7628           (property IS_CLR_INVERTED (string "1'b0"))
7629           (property IS_C_INVERTED (string "1'b0"))
7630           (property IS_D_INVERTED (string "1'b0"))
7631           (property ASYNC_REG (boolean (true)))
7632           (property box_type (string "PRIMITIVE"))
7633         )
7634         (instance (rename SptCntReadbackDsGen_4__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[4].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7635           (property INIT (string "1'b0"))
7636           (property IS_CLR_INVERTED (string "1'b0"))
7637           (property IS_C_INVERTED (string "1'b0"))
7638           (property IS_D_INVERTED (string "1'b0"))
7639           (property ASYNC_REG (boolean (true)))
7640           (property box_type (string "PRIMITIVE"))
7641         )
7642         (instance (rename SptCntReadbackDsGen_4__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[4].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7643           (property INIT (string "1'b0"))
7644           (property IS_CLR_INVERTED (string "1'b0"))
7645           (property IS_C_INVERTED (string "1'b0"))
7646           (property IS_D_INVERTED (string "1'b0"))
7647           (property ASYNC_REG (boolean (true)))
7648           (property box_type (string "PRIMITIVE"))
7649         )
7650         (instance (rename SptCntReadbackDsGen_5__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[5].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7651           (property INIT (string "1'b0"))
7652           (property IS_CLR_INVERTED (string "1'b0"))
7653           (property IS_C_INVERTED (string "1'b0"))
7654           (property IS_D_INVERTED (string "1'b0"))
7655           (property ASYNC_REG (boolean (true)))
7656           (property box_type (string "PRIMITIVE"))
7657         )
7658         (instance (rename SptCntReadbackDsGen_5__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[5].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7659           (property INIT (string "1'b0"))
7660           (property IS_CLR_INVERTED (string "1'b0"))
7661           (property IS_C_INVERTED (string "1'b0"))
7662           (property IS_D_INVERTED (string "1'b0"))
7663           (property ASYNC_REG (boolean (true)))
7664           (property box_type (string "PRIMITIVE"))
7665         )
7666         (instance (rename SptCntReadbackDsGen_6__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[6].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7667           (property INIT (string "1'b0"))
7668           (property IS_CLR_INVERTED (string "1'b0"))
7669           (property IS_C_INVERTED (string "1'b0"))
7670           (property IS_D_INVERTED (string "1'b0"))
7671           (property ASYNC_REG (boolean (true)))
7672           (property box_type (string "PRIMITIVE"))
7673         )
7674         (instance (rename SptCntReadbackDsGen_6__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[6].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7675           (property INIT (string "1'b0"))
7676           (property IS_CLR_INVERTED (string "1'b0"))
7677           (property IS_C_INVERTED (string "1'b0"))
7678           (property IS_D_INVERTED (string "1'b0"))
7679           (property ASYNC_REG (boolean (true)))
7680           (property box_type (string "PRIMITIVE"))
7681         )
7682         (instance (rename SptCntReadbackDsGen_7__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[7].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7683           (property INIT (string "1'b0"))
7684           (property IS_CLR_INVERTED (string "1'b0"))
7685           (property IS_C_INVERTED (string "1'b0"))
7686           (property IS_D_INVERTED (string "1'b0"))
7687           (property ASYNC_REG (boolean (true)))
7688           (property box_type (string "PRIMITIVE"))
7689         )
7690         (instance (rename SptCntReadbackDsGen_7__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[7].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7691           (property INIT (string "1'b0"))
7692           (property IS_CLR_INVERTED (string "1'b0"))
7693           (property IS_C_INVERTED (string "1'b0"))
7694           (property IS_D_INVERTED (string "1'b0"))
7695           (property ASYNC_REG (boolean (true)))
7696           (property box_type (string "PRIMITIVE"))
7697         )
7698         (instance (rename SptCntReadbackDsGen_8__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[8].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7699           (property INIT (string "1'b0"))
7700           (property IS_CLR_INVERTED (string "1'b0"))
7701           (property IS_C_INVERTED (string "1'b0"))
7702           (property IS_D_INVERTED (string "1'b0"))
7703           (property ASYNC_REG (boolean (true)))
7704           (property box_type (string "PRIMITIVE"))
7705         )
7706         (instance (rename SptCntReadbackDsGen_8__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[8].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7707           (property INIT (string "1'b0"))
7708           (property IS_CLR_INVERTED (string "1'b0"))
7709           (property IS_C_INVERTED (string "1'b0"))
7710           (property IS_D_INVERTED (string "1'b0"))
7711           (property ASYNC_REG (boolean (true)))
7712           (property box_type (string "PRIMITIVE"))
7713         )
7714         (instance (rename SptCntReadbackDsGen_9__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx "SptCntReadbackDsGen[9].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_msx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7715           (property INIT (string "1'b0"))
7716           (property IS_CLR_INVERTED (string "1'b0"))
7717           (property IS_C_INVERTED (string "1'b0"))
7718           (property IS_D_INVERTED (string "1'b0"))
7719           (property ASYNC_REG (boolean (true)))
7720           (property box_type (string "PRIMITIVE"))
7721         )
7722         (instance (rename SptCntReadbackDsGen_9__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx "SptCntReadbackDsGen[9].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSigx/Gen0.FDCEx") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7723           (property INIT (string "1'b0"))
7724           (property IS_CLR_INVERTED (string "1'b0"))
7725           (property IS_C_INVERTED (string "1'b0"))
7726           (property IS_D_INVERTED (string "1'b0"))
7727           (property ASYNC_REG (boolean (true)))
7728           (property box_type (string "PRIMITIVE"))
7729         )
7730         (instance VCC (viewref netlist (cellref VCC (libraryref hdi_primitives))))
7731         (instance aTdcResetLcl_i_1 (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
7732           (property INIT (string "16'hBF80"))
7733         )
7734         (instance aTdcResetLcl_i_2 (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
7735           (property INIT (string "64'h0200000000000000"))
7736         )
7737         (instance aTdcResetLcl_i_3 (viewref netlist (cellref LUT5 (libraryref hdi_primitives)))
7738           (property INIT (string "32'h00001000"))
7739           (property SOFT_HLUTNM (string "soft_lutpair2"))
7740         )
7741         (instance aTdcResetLcl_i_4 (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
7742           (property INIT (string "16'h0001"))
7743         )
7744         (instance aTdcResetLcl_i_5 (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
7745           (property INIT (string "16'h0001"))
7746         )
7747         (instance aTdcResetLcl_reg (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7748           (property INIT (string "1'b0"))
7749           (property ORIG_CELL_NAME (string "aTdcResetLcl_reg"))
7750         )
7751         (instance aTdcResetLcl_reg_rep (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7752           (property INIT (string "1'b0"))
7753           (property ORIG_CELL_NAME (string "aTdcResetLcl_reg"))
7754         )
7755         (instance aTdcResetLcl_reg_rep__0 (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7756           (property INIT (string "1'b0"))
7757           (property ORIG_CELL_NAME (string "aTdcResetLcl_reg"))
7758         )
7759         (instance aTdcResetLcl_reg_rep__1 (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7760           (property INIT (string "1'b0"))
7761           (property ORIG_CELL_NAME (string "aTdcResetLcl_reg"))
7762         )
7763         (instance aTdcResetLcl_reg_rep__2 (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7764           (property INIT (string "1'b0"))
7765           (property ORIG_CELL_NAME (string "aTdcResetLcl_reg"))
7766         )
7767         (instance aTdcResetLcl_reg_rep__3 (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7768           (property INIT (string "1'b0"))
7769           (property ORIG_CELL_NAME (string "aTdcResetLcl_reg"))
7770         )
7771         (instance aTdcResetLcl_rep_i_1 (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
7772           (property INIT (string "16'hBF80"))
7773         )
7774         (instance aTdcResetLcl_rep_i_1__0 (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
7775           (property INIT (string "16'hBF80"))
7776         )
7777         (instance aTdcResetLcl_rep_i_1__1 (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
7778           (property INIT (string "16'hBF80"))
7779         )
7780         (instance aTdcResetLcl_rep_i_1__2 (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
7781           (property INIT (string "16'hBF80"))
7782         )
7783         (instance aTdcResetLcl_rep_i_1__3 (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
7784           (property INIT (string "16'hBF80"))
7785         )
7786         (instance bClearTdcRegs_i_1 (viewref netlist (cellref LUT5 (libraryref hdi_primitives)))
7787           (property INIT (string "32'h00000080"))
7788           (property SOFT_HLUTNM (string "soft_lutpair1"))
7789         )
7790         (instance bClearTdcRegs_i_2 (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
7791           (property INIT (string "64'h0000000000000080"))
7792         )
7793         (instance bClearTdcRegs_i_3 (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
7794           (property INIT (string "4'h2"))
7795           (property SOFT_HLUTNM (string "soft_lutpair22"))
7796         )
7797         (instance bClearTdcRegs_reg (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7798           (property INIT (string "1'b0"))
7799         )
7800         (instance bEnableTdc_i_1 (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
7801           (property INIT (string "64'h1101111111000000"))
7802         )
7803         (instance bEnableTdc_reg (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7804           (property INIT (string "1'b0"))
7805         )
7806         (instance bOffsetUpdated_i_1 (viewref netlist (cellref LUT5 (libraryref hdi_primitives)))
7807           (property INIT (string "32'h05030500"))
7808         )
7809         (instance bOffsetUpdated_reg (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7810           (property INIT (string "1'b0"))
7811         )
7812         (instance (rename bPpsClkCrossDelayVal_0__i_1 "bPpsClkCrossDelayVal[0]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
7813           (property INIT (string "8'h02"))
7814           (property SOFT_HLUTNM (string "soft_lutpair8"))
7815         )
7816         (instance (rename bPpsClkCrossDelayVal_1__i_1 "bPpsClkCrossDelayVal[1]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
7817           (property INIT (string "8'h02"))
7818           (property SOFT_HLUTNM (string "soft_lutpair7"))
7819         )
7820         (instance (rename bPpsClkCrossDelayVal_2__i_1 "bPpsClkCrossDelayVal[2]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
7821           (property INIT (string "8'h02"))
7822           (property SOFT_HLUTNM (string "soft_lutpair10"))
7823         )
7824         (instance (rename bPpsClkCrossDelayVal_3__i_1 "bPpsClkCrossDelayVal[3]_i_1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
7825           (property INIT (string "16'hFFF8"))
7826         )
7827         (instance (rename bPpsClkCrossDelayVal_3__i_2 "bPpsClkCrossDelayVal[3]_i_2") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
7828           (property INIT (string "8'h02"))
7829           (property SOFT_HLUTNM (string "soft_lutpair19"))
7830         )
7831         (instance (rename bPpsClkCrossDelayVal_3__i_3 "bPpsClkCrossDelayVal[3]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
7832           (property INIT (string "64'h0000000000000100"))
7833         )
7834         (instance (rename bPpsClkCrossDelayVal_reg_0_ "bPpsClkCrossDelayVal_reg[0]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7835           (property INIT (string "1'b0"))
7836         )
7837         (instance (rename bPpsClkCrossDelayVal_reg_1_ "bPpsClkCrossDelayVal_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7838           (property INIT (string "1'b0"))
7839         )
7840         (instance (rename bPpsClkCrossDelayVal_reg_2_ "bPpsClkCrossDelayVal_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7841           (property INIT (string "1'b0"))
7842         )
7843         (instance (rename bPpsClkCrossDelayVal_reg_3_ "bPpsClkCrossDelayVal_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7844           (property INIT (string "1'b0"))
7845         )
7846         (instance bPpsClkCrossEn_i_1 (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
7847           (property INIT (string "64'h1101111111000000"))
7848         )
7849         (instance bPpsClkCrossEn_reg (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7850           (property INIT (string "1'b0"))
7851         )
7852         (instance (rename bPulserEnableDelayVal_0__i_1 "bPulserEnableDelayVal[0]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
7853           (property INIT (string "8'hFE"))
7854           (property SOFT_HLUTNM (string "soft_lutpair8"))
7855         )
7856         (instance (rename bPulserEnableDelayVal_1__i_1 "bPulserEnableDelayVal[1]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
7857           (property INIT (string "8'h02"))
7858           (property SOFT_HLUTNM (string "soft_lutpair29"))
7859         )
7860         (instance (rename bPulserEnableDelayVal_2__i_1 "bPulserEnableDelayVal[2]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
7861           (property INIT (string "8'h02"))
7862           (property SOFT_HLUTNM (string "soft_lutpair9"))
7863         )
7864         (instance (rename bPulserEnableDelayVal_3__i_1 "bPulserEnableDelayVal[3]_i_1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
7865           (property INIT (string "16'hFFF8"))
7866         )
7867         (instance (rename bPulserEnableDelayVal_3__i_2 "bPulserEnableDelayVal[3]_i_2") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
7868           (property INIT (string "8'h02"))
7869           (property SOFT_HLUTNM (string "soft_lutpair6"))
7870         )
7871         (instance (rename bPulserEnableDelayVal_reg_0_ "bPulserEnableDelayVal_reg[0]") (viewref netlist (cellref FDPE (libraryref hdi_primitives)))
7872           (property INIT (string "1'b1"))
7873         )
7874         (instance (rename bPulserEnableDelayVal_reg_1_ "bPulserEnableDelayVal_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7875           (property INIT (string "1'b0"))
7876         )
7877         (instance (rename bPulserEnableDelayVal_reg_2_ "bPulserEnableDelayVal_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7878           (property INIT (string "1'b0"))
7879         )
7880         (instance (rename bPulserEnableDelayVal_reg_3_ "bPulserEnableDelayVal_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7881           (property INIT (string "1'b0"))
7882         )
7883         (instance bPushPpsDelayVal_i_1 (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
7884           (property INIT (string "64'h0080000000000000"))
7885         )
7886         (instance bPushPpsDelayVal_i_2 (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
7887           (property INIT (string "8'h01"))
7888           (property SOFT_HLUTNM (string "soft_lutpair0"))
7889         )
7890         (instance bPushPpsDelayVal_i_3 (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
7891           (property INIT (string "4'h2"))
7892           (property SOFT_HLUTNM (string "soft_lutpair27"))
7893         )
7894         (instance bPushPpsDelayVal_i_4 (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
7895           (property INIT (string "4'h1"))
7896           (property SOFT_HLUTNM (string "soft_lutpair25"))
7897         )
7898         (instance bPushPpsDelayVal_reg (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7899           (property INIT (string "1'b0"))
7900         )
7901         (instance bPushPulserEnableDelayVal_i_1 (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
7902           (property INIT (string "64'h0080000000000000"))
7903         )
7904         (instance bPushPulserEnableDelayVal_reg (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7905           (property INIT (string "1'b0"))
7906         )
7907         (instance bReRunEnable_i_1 (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
7908           (property INIT (string "64'h1101111111000000"))
7909         )
7910         (instance bReRunEnable_reg (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
7911           (property INIT (string "1'b0"))
7912         )
7913         (instance (rename bRegPortOutLcl_Data__0__i_1 "bRegPortOutLcl[Data][0]_i_1") (viewref netlist (cellref LUT5 (libraryref hdi_primitives)))
7914           (property INIT (string "32'hFFFFFFFE"))
7915         )
7916         (instance (rename bRegPortOutLcl_Data__0__i_2 "bRegPortOutLcl[Data][0]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
7917           (property INIT (string "64'hFFFFF888F888F888"))
7918         )
7919         (instance (rename bRegPortOutLcl_Data__0__i_3 "bRegPortOutLcl[Data][0]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
7920           (property INIT (string "64'hFFFFF888F888F888"))
7921         )
7922         (instance (rename bRegPortOutLcl_Data__0__i_4 "bRegPortOutLcl[Data][0]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
7923           (property INIT (string "64'hFFFFF888F888F888"))
7924         )
7925         (instance (rename bRegPortOutLcl_Data__0__i_5 "bRegPortOutLcl[Data][0]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
7926           (property INIT (string "64'hAAAAFAAAAAAAEEAA"))
7927         )
7928         (instance (rename bRegPortOutLcl_Data__0__i_6 "bRegPortOutLcl[Data][0]_i_6") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
7929           (property INIT (string "16'hF888"))
7930         )
7931         (instance (rename bRegPortOutLcl_Data__0__i_7 "bRegPortOutLcl[Data][0]_i_7") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
7932           (property INIT (string "64'h0000000000002000"))
7933         )
7934         (instance (rename bRegPortOutLcl_Data__10__i_1 "bRegPortOutLcl[Data][10]_i_1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
7935           (property INIT (string "64'hFFFFFFFFFFFEFEFE"))
7936         )
7937         (instance (rename bRegPortOutLcl_Data__10__i_2 "bRegPortOutLcl[Data][10]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
7938           (property INIT (string "64'hFFFFF888F888F888"))
7939         )
7940         (instance (rename bRegPortOutLcl_Data__10__i_3 "bRegPortOutLcl[Data][10]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
7941           (property INIT (string "64'h88F8888888888888"))
7942         )
7943         (instance (rename bRegPortOutLcl_Data__10__i_4 "bRegPortOutLcl[Data][10]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
7944           (property INIT (string "64'hFFFFF888F888F888"))
7945         )
7946         (instance (rename bRegPortOutLcl_Data__11__i_1 "bRegPortOutLcl[Data][11]_i_1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
7947           (property INIT (string "16'hFFFE"))
7948         )
7949         (instance (rename bRegPortOutLcl_Data__11__i_2 "bRegPortOutLcl[Data][11]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
7950           (property INIT (string "64'hFFFFF888F888F888"))
7951         )
7952         (instance (rename bRegPortOutLcl_Data__11__i_3 "bRegPortOutLcl[Data][11]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
7953           (property INIT (string "64'hFFFFF888F888F888"))
7954         )
7955         (instance (rename bRegPortOutLcl_Data__11__i_4 "bRegPortOutLcl[Data][11]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
7956           (property INIT (string "64'hFFFFF888F888F888"))
7957         )
7958         (instance (rename bRegPortOutLcl_Data__12__i_1 "bRegPortOutLcl[Data][12]_i_1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
7959           (property INIT (string "64'hFFFFFFFFFFFFFFEA"))
7960         )
7961         (instance (rename bRegPortOutLcl_Data__12__i_2 "bRegPortOutLcl[Data][12]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
7962           (property INIT (string "64'hFFFFF888F888F888"))
7963         )
7964         (instance (rename bRegPortOutLcl_Data__12__i_3 "bRegPortOutLcl[Data][12]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
7965           (property INIT (string "64'hFFFFF888F888F888"))
7966         )
7967         (instance (rename bRegPortOutLcl_Data__12__i_4 "bRegPortOutLcl[Data][12]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
7968           (property INIT (string "64'hFFFFF888F888F888"))
7969         )
7970         (instance (rename bRegPortOutLcl_Data__13__i_1 "bRegPortOutLcl[Data][13]_i_1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
7971           (property INIT (string "64'hFFFFFFFFFFFFFBAA"))
7972         )
7973         (instance (rename bRegPortOutLcl_Data__13__i_2 "bRegPortOutLcl[Data][13]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
7974           (property INIT (string "64'hFFFFF888F888F888"))
7975         )
7976         (instance (rename bRegPortOutLcl_Data__13__i_3 "bRegPortOutLcl[Data][13]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
7977           (property INIT (string "64'hFFFFF888F888F888"))
7978         )
7979         (instance (rename bRegPortOutLcl_Data__13__i_4 "bRegPortOutLcl[Data][13]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
7980           (property INIT (string "64'hFFFFF888F888F888"))
7981         )
7982         (instance (rename bRegPortOutLcl_Data__14__i_1 "bRegPortOutLcl[Data][14]_i_1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
7983           (property INIT (string "16'hFFFE"))
7984         )
7985         (instance (rename bRegPortOutLcl_Data__14__i_2 "bRegPortOutLcl[Data][14]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
7986           (property INIT (string "64'hFFFFF888F888F888"))
7987         )
7988         (instance (rename bRegPortOutLcl_Data__14__i_3 "bRegPortOutLcl[Data][14]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
7989           (property INIT (string "64'hFFFFF888F888F888"))
7990         )
7991         (instance (rename bRegPortOutLcl_Data__14__i_4 "bRegPortOutLcl[Data][14]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
7992           (property INIT (string "64'hFFFFF888F888F888"))
7993         )
7994         (instance (rename bRegPortOutLcl_Data__15__i_1 "bRegPortOutLcl[Data][15]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
7995           (property INIT (string "8'hFE"))
7996         )
7997         (instance (rename bRegPortOutLcl_Data__15__i_2 "bRegPortOutLcl[Data][15]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
7998           (property INIT (string "64'hFFFFF888F888F888"))
7999         )
8000         (instance (rename bRegPortOutLcl_Data__15__i_3 "bRegPortOutLcl[Data][15]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8001           (property INIT (string "64'hFFFFF888F888F888"))
8002         )
8003         (instance (rename bRegPortOutLcl_Data__15__i_4 "bRegPortOutLcl[Data][15]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8004           (property INIT (string "64'hFFFFF888F888F888"))
8005         )
8006         (instance (rename bRegPortOutLcl_Data__16__i_1 "bRegPortOutLcl[Data][16]_i_1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8007           (property INIT (string "64'hFFFFFFFFFFFFFEEE"))
8008         )
8009         (instance (rename bRegPortOutLcl_Data__16__i_2 "bRegPortOutLcl[Data][16]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8010           (property INIT (string "64'hFFFFF888F888F888"))
8011         )
8012         (instance (rename bRegPortOutLcl_Data__16__i_3 "bRegPortOutLcl[Data][16]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8013           (property INIT (string "64'hFFFF002000200020"))
8014         )
8015         (instance (rename bRegPortOutLcl_Data__16__i_4 "bRegPortOutLcl[Data][16]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8016           (property INIT (string "64'hF080008000000000"))
8017         )
8018         (instance (rename bRegPortOutLcl_Data__16__i_5 "bRegPortOutLcl[Data][16]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8019           (property INIT (string "64'hFFFFF888F888F888"))
8020         )
8021         (instance (rename bRegPortOutLcl_Data__17__i_1 "bRegPortOutLcl[Data][17]_i_1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8022           (property INIT (string "64'hFFFFFFFFFFFFFFEA"))
8023         )
8024         (instance (rename bRegPortOutLcl_Data__17__i_2 "bRegPortOutLcl[Data][17]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8025           (property INIT (string "64'hFFFFF888F888F888"))
8026         )
8027         (instance (rename bRegPortOutLcl_Data__17__i_3 "bRegPortOutLcl[Data][17]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8028           (property INIT (string "64'hFFFFF888F888F888"))
8029         )
8030         (instance (rename bRegPortOutLcl_Data__17__i_4 "bRegPortOutLcl[Data][17]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8031           (property INIT (string "64'hFFFFF888F888F888"))
8032         )
8033         (instance (rename bRegPortOutLcl_Data__18__i_1 "bRegPortOutLcl[Data][18]_i_1") (viewref netlist (cellref LUT5 (libraryref hdi_primitives)))
8034           (property INIT (string "32'hFFFFFFEA"))
8035         )
8036         (instance (rename bRegPortOutLcl_Data__18__i_2 "bRegPortOutLcl[Data][18]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8037           (property INIT (string "64'hFFFFF888F888F888"))
8038         )
8039         (instance (rename bRegPortOutLcl_Data__18__i_3 "bRegPortOutLcl[Data][18]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8040           (property INIT (string "64'hFFFFF888F888F888"))
8041         )
8042         (instance (rename bRegPortOutLcl_Data__18__i_4 "bRegPortOutLcl[Data][18]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8043           (property INIT (string "64'hFFFFF888F888F888"))
8044         )
8045         (instance (rename bRegPortOutLcl_Data__19__i_1 "bRegPortOutLcl[Data][19]_i_1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8046           (property INIT (string "64'hFFFFFFFFFFFFFEAA"))
8047         )
8048         (instance (rename bRegPortOutLcl_Data__19__i_2 "bRegPortOutLcl[Data][19]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8049           (property INIT (string "64'hFFFFF888F888F888"))
8050         )
8051         (instance (rename bRegPortOutLcl_Data__19__i_3 "bRegPortOutLcl[Data][19]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8052           (property INIT (string "64'hFFFFF888F888F888"))
8053         )
8054         (instance (rename bRegPortOutLcl_Data__19__i_4 "bRegPortOutLcl[Data][19]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8055           (property INIT (string "64'hFFFFF888F888F888"))
8056         )
8057         (instance (rename bRegPortOutLcl_Data__1__i_1 "bRegPortOutLcl[Data][1]_i_1") (viewref netlist (cellref LUT5 (libraryref hdi_primitives)))
8058           (property INIT (string "32'hFFFFFFFE"))
8059         )
8060         (instance (rename bRegPortOutLcl_Data__1__i_2 "bRegPortOutLcl[Data][1]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8061           (property INIT (string "64'hFFFF88F888F888F8"))
8062         )
8063         (instance (rename bRegPortOutLcl_Data__1__i_3 "bRegPortOutLcl[Data][1]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8064           (property INIT (string "64'hFFFFF888F888F888"))
8065         )
8066         (instance (rename bRegPortOutLcl_Data__1__i_4 "bRegPortOutLcl[Data][1]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8067           (property INIT (string "64'hFFFFF888F888F888"))
8068         )
8069         (instance (rename bRegPortOutLcl_Data__1__i_5 "bRegPortOutLcl[Data][1]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8070           (property INIT (string "64'hFFFFF888F888F888"))
8071         )
8072         (instance (rename bRegPortOutLcl_Data__20__i_1 "bRegPortOutLcl[Data][20]_i_1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
8073           (property INIT (string "16'hFFFE"))
8074         )
8075         (instance (rename bRegPortOutLcl_Data__20__i_2 "bRegPortOutLcl[Data][20]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8076           (property INIT (string "64'hFFFFF888F888F888"))
8077         )
8078         (instance (rename bRegPortOutLcl_Data__20__i_3 "bRegPortOutLcl[Data][20]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8079           (property INIT (string "64'hFFFFF888F888F888"))
8080         )
8081         (instance (rename bRegPortOutLcl_Data__20__i_4 "bRegPortOutLcl[Data][20]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8082           (property INIT (string "64'hFFFFF888F888F888"))
8083         )
8084         (instance (rename bRegPortOutLcl_Data__21__i_1 "bRegPortOutLcl[Data][21]_i_1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
8085           (property INIT (string "16'hFFFE"))
8086         )
8087         (instance (rename bRegPortOutLcl_Data__21__i_2 "bRegPortOutLcl[Data][21]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8088           (property INIT (string "64'hFFFFF888F888F888"))
8089         )
8090         (instance (rename bRegPortOutLcl_Data__21__i_3 "bRegPortOutLcl[Data][21]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8091           (property INIT (string "64'hFFFFF888F888F888"))
8092         )
8093         (instance (rename bRegPortOutLcl_Data__21__i_4 "bRegPortOutLcl[Data][21]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8094           (property INIT (string "64'hFFFFF888F888F888"))
8095         )
8096         (instance (rename bRegPortOutLcl_Data__22__i_1 "bRegPortOutLcl[Data][22]_i_1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
8097           (property INIT (string "16'hFFFE"))
8098         )
8099         (instance (rename bRegPortOutLcl_Data__22__i_2 "bRegPortOutLcl[Data][22]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8100           (property INIT (string "64'hFFFFF888F888F888"))
8101         )
8102         (instance (rename bRegPortOutLcl_Data__22__i_3 "bRegPortOutLcl[Data][22]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8103           (property INIT (string "64'hFFFFF888F888F888"))
8104         )
8105         (instance (rename bRegPortOutLcl_Data__22__i_4 "bRegPortOutLcl[Data][22]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8106           (property INIT (string "64'hFFFFF888F888F888"))
8107         )
8108         (instance (rename bRegPortOutLcl_Data__23__i_1 "bRegPortOutLcl[Data][23]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
8109           (property INIT (string "8'hFE"))
8110         )
8111         (instance (rename bRegPortOutLcl_Data__23__i_2 "bRegPortOutLcl[Data][23]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8112           (property INIT (string "64'hFFFFF888F888F888"))
8113         )
8114         (instance (rename bRegPortOutLcl_Data__23__i_3 "bRegPortOutLcl[Data][23]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8115           (property INIT (string "64'hFFFFF888F888F888"))
8116         )
8117         (instance (rename bRegPortOutLcl_Data__23__i_4 "bRegPortOutLcl[Data][23]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8118           (property INIT (string "64'hFFFFF888F888F888"))
8119         )
8120         (instance (rename bRegPortOutLcl_Data__24__i_1 "bRegPortOutLcl[Data][24]_i_1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8121           (property INIT (string "64'hFFFFFFFFFFFFFEAA"))
8122         )
8123         (instance (rename bRegPortOutLcl_Data__24__i_2 "bRegPortOutLcl[Data][24]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8124           (property INIT (string "64'hFFFFF888F888F888"))
8125         )
8126         (instance (rename bRegPortOutLcl_Data__24__i_3 "bRegPortOutLcl[Data][24]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8127           (property INIT (string "64'hFFFFF888F888F888"))
8128         )
8129         (instance (rename bRegPortOutLcl_Data__24__i_4 "bRegPortOutLcl[Data][24]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8130           (property INIT (string "64'hFFFFF888F888F888"))
8131         )
8132         (instance (rename bRegPortOutLcl_Data__25__i_1 "bRegPortOutLcl[Data][25]_i_1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8133           (property INIT (string "64'hFFFFFFFFFFFFFEAA"))
8134         )
8135         (instance (rename bRegPortOutLcl_Data__25__i_2 "bRegPortOutLcl[Data][25]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8136           (property INIT (string "64'hFFFFF888F888F888"))
8137         )
8138         (instance (rename bRegPortOutLcl_Data__25__i_3 "bRegPortOutLcl[Data][25]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8139           (property INIT (string "64'h0000100000000000"))
8140         )
8141         (instance (rename bRegPortOutLcl_Data__25__i_4 "bRegPortOutLcl[Data][25]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8142           (property INIT (string "64'hFFFFF888F888F888"))
8143         )
8144         (instance (rename bRegPortOutLcl_Data__25__i_5 "bRegPortOutLcl[Data][25]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8145           (property INIT (string "64'hFFFFF888F888F888"))
8146         )
8147         (instance (rename bRegPortOutLcl_Data__26__i_1 "bRegPortOutLcl[Data][26]_i_1") (viewref netlist (cellref LUT5 (libraryref hdi_primitives)))
8148           (property INIT (string "32'hFFFFFFEA"))
8149         )
8150         (instance (rename bRegPortOutLcl_Data__26__i_2 "bRegPortOutLcl[Data][26]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8151           (property INIT (string "64'hFFFFF888F888F888"))
8152         )
8153         (instance (rename bRegPortOutLcl_Data__26__i_3 "bRegPortOutLcl[Data][26]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8154           (property INIT (string "64'h0020000000000000"))
8155         )
8156         (instance (rename bRegPortOutLcl_Data__26__i_4 "bRegPortOutLcl[Data][26]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8157           (property INIT (string "64'hFFFFF888F888F888"))
8158         )
8159         (instance (rename bRegPortOutLcl_Data__26__i_5 "bRegPortOutLcl[Data][26]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8160           (property INIT (string "64'hFFFFF888F888F888"))
8161         )
8162         (instance (rename bRegPortOutLcl_Data__27__i_1 "bRegPortOutLcl[Data][27]_i_1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8163           (property INIT (string "64'hFFFFFFFFFFFFFFEA"))
8164         )
8165         (instance (rename bRegPortOutLcl_Data__27__i_2 "bRegPortOutLcl[Data][27]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8166           (property INIT (string "64'hFFFFF888F888F888"))
8167         )
8168         (instance (rename bRegPortOutLcl_Data__27__i_3 "bRegPortOutLcl[Data][27]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8169           (property INIT (string "64'h0000000000200000"))
8170         )
8171         (instance (rename bRegPortOutLcl_Data__27__i_4 "bRegPortOutLcl[Data][27]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8172           (property INIT (string "64'h0000120000000000"))
8173         )
8174         (instance (rename bRegPortOutLcl_Data__27__i_5 "bRegPortOutLcl[Data][27]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8175           (property INIT (string "64'hFFFFF888F888F888"))
8176         )
8177         (instance (rename bRegPortOutLcl_Data__27__i_6 "bRegPortOutLcl[Data][27]_i_6") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8178           (property INIT (string "64'hFFFFF888F888F888"))
8179         )
8180         (instance (rename bRegPortOutLcl_Data__27__i_7 "bRegPortOutLcl[Data][27]_i_7") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8181           (property INIT (string "64'h0040000000000000"))
8182         )
8183         (instance (rename bRegPortOutLcl_Data__28__i_1 "bRegPortOutLcl[Data][28]_i_1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8184           (property INIT (string "64'hFFFFFFFFFFFEFEFE"))
8185         )
8186         (instance (rename bRegPortOutLcl_Data__28__i_10 "bRegPortOutLcl[Data][28]_i_10") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
8187           (property INIT (string "4'h1"))
8188         )
8189         (instance (rename bRegPortOutLcl_Data__28__i_2 "bRegPortOutLcl[Data][28]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8190           (property INIT (string "64'hFFFFF888F888F888"))
8191         )
8192         (instance (rename bRegPortOutLcl_Data__28__i_3 "bRegPortOutLcl[Data][28]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8193           (property INIT (string "64'h88F8888888888888"))
8194         )
8195         (instance (rename bRegPortOutLcl_Data__28__i_4 "bRegPortOutLcl[Data][28]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8196           (property INIT (string "64'h0004000C00000000"))
8197         )
8198         (instance (rename bRegPortOutLcl_Data__28__i_5 "bRegPortOutLcl[Data][28]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8199           (property INIT (string "64'h0800000000000000"))
8200         )
8201         (instance (rename bRegPortOutLcl_Data__28__i_6 "bRegPortOutLcl[Data][28]_i_6") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8202           (property INIT (string "64'hFFFFF888F888F888"))
8203         )
8204         (instance (rename bRegPortOutLcl_Data__28__i_7 "bRegPortOutLcl[Data][28]_i_7") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
8205           (property INIT (string "16'h0040"))
8206           (property SOFT_HLUTNM (string "soft_lutpair3"))
8207         )
8208         (instance (rename bRegPortOutLcl_Data__28__i_8 "bRegPortOutLcl[Data][28]_i_8") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8209           (property INIT (string "64'h0000000000008000"))
8210         )
8211         (instance (rename bRegPortOutLcl_Data__28__i_9 "bRegPortOutLcl[Data][28]_i_9") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
8212           (property INIT (string "4'h1"))
8213           (property SOFT_HLUTNM (string "soft_lutpair5"))
8214         )
8215         (instance (rename bRegPortOutLcl_Data__29__i_1 "bRegPortOutLcl[Data][29]_i_1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
8216           (property INIT (string "16'hFFFE"))
8217         )
8218         (instance (rename bRegPortOutLcl_Data__29__i_2 "bRegPortOutLcl[Data][29]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8219           (property INIT (string "64'hFFFFF888F888F888"))
8220         )
8221         (instance (rename bRegPortOutLcl_Data__29__i_3 "bRegPortOutLcl[Data][29]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8222           (property INIT (string "64'hFFFFF888F888F888"))
8223         )
8224         (instance (rename bRegPortOutLcl_Data__29__i_4 "bRegPortOutLcl[Data][29]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8225           (property INIT (string "64'hFFFFF888F888F888"))
8226         )
8227         (instance (rename bRegPortOutLcl_Data__2__i_1 "bRegPortOutLcl[Data][2]_i_1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8228           (property INIT (string "64'hFFFFFFFFFFFEFEFE"))
8229         )
8230         (instance (rename bRegPortOutLcl_Data__2__i_2 "bRegPortOutLcl[Data][2]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8231           (property INIT (string "64'hFFFFF888F888F888"))
8232         )
8233         (instance (rename bRegPortOutLcl_Data__2__i_3 "bRegPortOutLcl[Data][2]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8234           (property INIT (string "64'hFFFFF888F888F888"))
8235         )
8236         (instance (rename bRegPortOutLcl_Data__2__i_4 "bRegPortOutLcl[Data][2]_i_4") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
8237           (property INIT (string "8'hF8"))
8238           (property SOFT_HLUTNM (string "soft_lutpair21"))
8239         )
8240         (instance (rename bRegPortOutLcl_Data__2__i_5 "bRegPortOutLcl[Data][2]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8241           (property INIT (string "64'hFFFFF888F888F888"))
8242         )
8243         (instance (rename bRegPortOutLcl_Data__30__i_1 "bRegPortOutLcl[Data][30]_i_1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
8244           (property INIT (string "16'hFFFE"))
8245         )
8246         (instance (rename bRegPortOutLcl_Data__30__i_2 "bRegPortOutLcl[Data][30]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8247           (property INIT (string "64'hFFFFF888F888F888"))
8248         )
8249         (instance (rename bRegPortOutLcl_Data__30__i_3 "bRegPortOutLcl[Data][30]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8250           (property INIT (string "64'hFFFFF888F888F888"))
8251         )
8252         (instance (rename bRegPortOutLcl_Data__30__i_4 "bRegPortOutLcl[Data][30]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8253           (property INIT (string "64'h0000002000000000"))
8254         )
8255         (instance (rename bRegPortOutLcl_Data__30__i_5 "bRegPortOutLcl[Data][30]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8256           (property INIT (string "64'hFFFFF888F888F888"))
8257         )
8258         (instance (rename bRegPortOutLcl_Data__30__i_6 "bRegPortOutLcl[Data][30]_i_6") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8259           (property INIT (string "64'h0000004000000000"))
8260         )
8261         (instance (rename bRegPortOutLcl_Data__31__i_1 "bRegPortOutLcl[Data][31]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
8262           (property INIT (string "8'hFE"))
8263         )
8264         (instance (rename bRegPortOutLcl_Data__31__i_10 "bRegPortOutLcl[Data][31]_i_10") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8265           (property INIT (string "64'h0000020000000000"))
8266         )
8267         (instance (rename bRegPortOutLcl_Data__31__i_11 "bRegPortOutLcl[Data][31]_i_11") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8268           (property INIT (string "64'h0800000000000000"))
8269         )
8270         (instance (rename bRegPortOutLcl_Data__31__i_12 "bRegPortOutLcl[Data][31]_i_12") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
8271           (property INIT (string "4'h8"))
8272           (property SOFT_HLUTNM (string "soft_lutpair23"))
8273         )
8274         (instance (rename bRegPortOutLcl_Data__31__i_2 "bRegPortOutLcl[Data][31]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8275           (property INIT (string "64'hFFFFF888F888F888"))
8276         )
8277         (instance (rename bRegPortOutLcl_Data__31__i_3 "bRegPortOutLcl[Data][31]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8278           (property INIT (string "64'hFFFFF888F888F888"))
8279         )
8280         (instance (rename bRegPortOutLcl_Data__31__i_4 "bRegPortOutLcl[Data][31]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8281           (property INIT (string "64'hFFFFF888F888F888"))
8282         )
8283         (instance (rename bRegPortOutLcl_Data__31__i_5 "bRegPortOutLcl[Data][31]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8284           (property INIT (string "64'h0010000000000000"))
8285         )
8286         (instance (rename bRegPortOutLcl_Data__31__i_6 "bRegPortOutLcl[Data][31]_i_6") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8287           (property INIT (string "64'h0000800000000000"))
8288         )
8289         (instance (rename bRegPortOutLcl_Data__31__i_7 "bRegPortOutLcl[Data][31]_i_7") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8290           (property INIT (string "64'h0000200000000000"))
8291         )
8292         (instance (rename bRegPortOutLcl_Data__31__i_8 "bRegPortOutLcl[Data][31]_i_8") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8293           (property INIT (string "64'h0000200000000000"))
8294         )
8295         (instance (rename bRegPortOutLcl_Data__31__i_9 "bRegPortOutLcl[Data][31]_i_9") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8296           (property INIT (string "64'h0000020000000000"))
8297         )
8298         (instance (rename bRegPortOutLcl_Data__3__i_1 "bRegPortOutLcl[Data][3]_i_1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
8299           (property INIT (string "16'hFFFE"))
8300         )
8301         (instance (rename bRegPortOutLcl_Data__3__i_2 "bRegPortOutLcl[Data][3]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8302           (property INIT (string "64'hFFFFF888F888F888"))
8303         )
8304         (instance (rename bRegPortOutLcl_Data__3__i_3 "bRegPortOutLcl[Data][3]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8305           (property INIT (string "64'hFFFFF888F888F888"))
8306         )
8307         (instance (rename bRegPortOutLcl_Data__3__i_4 "bRegPortOutLcl[Data][3]_i_4") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
8308           (property INIT (string "16'hF888"))
8309         )
8310         (instance (rename bRegPortOutLcl_Data__3__i_5 "bRegPortOutLcl[Data][3]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8311           (property INIT (string "64'hFFFFF888F888F888"))
8312         )
8313         (instance (rename bRegPortOutLcl_Data__4__i_1 "bRegPortOutLcl[Data][4]_i_1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8314           (property INIT (string "64'hFFFFFFFFFFFFFFFE"))
8315         )
8316         (instance (rename bRegPortOutLcl_Data__4__i_2 "bRegPortOutLcl[Data][4]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8317           (property INIT (string "64'hFFFFF888F888F888"))
8318         )
8319         (instance (rename bRegPortOutLcl_Data__4__i_3 "bRegPortOutLcl[Data][4]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8320           (property INIT (string "64'hFFFFF888F888F888"))
8321         )
8322         (instance (rename bRegPortOutLcl_Data__4__i_4 "bRegPortOutLcl[Data][4]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8323           (property INIT (string "64'hFFFFF888F888F888"))
8324         )
8325         (instance (rename bRegPortOutLcl_Data__4__i_5 "bRegPortOutLcl[Data][4]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8326           (property INIT (string "64'h0001000000000000"))
8327         )
8328         (instance (rename bRegPortOutLcl_Data__4__i_6 "bRegPortOutLcl[Data][4]_i_6") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8329           (property INIT (string "64'h000000C00000E000"))
8330         )
8331         (instance (rename bRegPortOutLcl_Data__4__i_7 "bRegPortOutLcl[Data][4]_i_7") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
8332           (property INIT (string "16'hF888"))
8333         )
8334         (instance (rename bRegPortOutLcl_Data__5__i_1 "bRegPortOutLcl[Data][5]_i_1") (viewref netlist (cellref LUT5 (libraryref hdi_primitives)))
8335           (property INIT (string "32'hFFFFFFFE"))
8336         )
8337         (instance (rename bRegPortOutLcl_Data__5__i_2 "bRegPortOutLcl[Data][5]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8338           (property INIT (string "64'hFFFF88F888F888F8"))
8339         )
8340         (instance (rename bRegPortOutLcl_Data__5__i_3 "bRegPortOutLcl[Data][5]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8341           (property INIT (string "64'hFFFFF888F888F888"))
8342         )
8343         (instance (rename bRegPortOutLcl_Data__5__i_4 "bRegPortOutLcl[Data][5]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8344           (property INIT (string "64'hFFFFF888F888F888"))
8345         )
8346         (instance (rename bRegPortOutLcl_Data__5__i_5 "bRegPortOutLcl[Data][5]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8347           (property INIT (string "64'hFFFFF888F888F888"))
8348         )
8349         (instance (rename bRegPortOutLcl_Data__6__i_1 "bRegPortOutLcl[Data][6]_i_1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8350           (property INIT (string "64'hFFFFFFFFFFFEFEFE"))
8351         )
8352         (instance (rename bRegPortOutLcl_Data__6__i_2 "bRegPortOutLcl[Data][6]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8353           (property INIT (string "64'hFFFFF888F888F888"))
8354         )
8355         (instance (rename bRegPortOutLcl_Data__6__i_3 "bRegPortOutLcl[Data][6]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8356           (property INIT (string "64'hFFFFF888F888F888"))
8357         )
8358         (instance (rename bRegPortOutLcl_Data__6__i_4 "bRegPortOutLcl[Data][6]_i_4") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
8359           (property INIT (string "8'hF8"))
8360           (property SOFT_HLUTNM (string "soft_lutpair21"))
8361         )
8362         (instance (rename bRegPortOutLcl_Data__6__i_5 "bRegPortOutLcl[Data][6]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8363           (property INIT (string "64'hFFFFF888F888F888"))
8364         )
8365         (instance (rename bRegPortOutLcl_Data__7__i_1 "bRegPortOutLcl[Data][7]_i_1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
8366           (property INIT (string "16'hFFFE"))
8367         )
8368         (instance (rename bRegPortOutLcl_Data__7__i_2 "bRegPortOutLcl[Data][7]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8369           (property INIT (string "64'hFFFFF888F888F888"))
8370         )
8371         (instance (rename bRegPortOutLcl_Data__7__i_3 "bRegPortOutLcl[Data][7]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8372           (property INIT (string "64'hFFFFF888F888F888"))
8373         )
8374         (instance (rename bRegPortOutLcl_Data__7__i_4 "bRegPortOutLcl[Data][7]_i_4") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
8375           (property INIT (string "16'hF888"))
8376         )
8377         (instance (rename bRegPortOutLcl_Data__7__i_5 "bRegPortOutLcl[Data][7]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8378           (property INIT (string "64'hFFFFF888F888F888"))
8379         )
8380         (instance (rename bRegPortOutLcl_Data__7__i_6 "bRegPortOutLcl[Data][7]_i_6") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8381           (property INIT (string "64'h0000000000008000"))
8382         )
8383         (instance (rename bRegPortOutLcl_Data__8__i_1 "bRegPortOutLcl[Data][8]_i_1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
8384           (property INIT (string "16'hFFFE"))
8385         )
8386         (instance (rename bRegPortOutLcl_Data__8__i_2 "bRegPortOutLcl[Data][8]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8387           (property INIT (string "64'hFFFFF888F888F888"))
8388         )
8389         (instance (rename bRegPortOutLcl_Data__8__i_3 "bRegPortOutLcl[Data][8]_i_3") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8390           (property INIT (string "64'hFFFFF888F888F888"))
8391         )
8392         (instance (rename bRegPortOutLcl_Data__8__i_4 "bRegPortOutLcl[Data][8]_i_4") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
8393           (property INIT (string "16'hF888"))
8394         )
8395         (instance (rename bRegPortOutLcl_Data__8__i_5 "bRegPortOutLcl[Data][8]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8396           (property INIT (string "64'hFFFFF888F888F888"))
8397         )
8398         (instance (rename bRegPortOutLcl_Data__8__i_6 "bRegPortOutLcl[Data][8]_i_6") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
8399           (property INIT (string "16'h8000"))
8400           (property SOFT_HLUTNM (string "soft_lutpair3"))
8401         )
8402         (instance (rename bRegPortOutLcl_Data__9__i_1 "bRegPortOutLcl[Data][9]_i_1") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
8403           (property INIT (string "16'hFFFE"))
8404         )
8405         (instance (rename bRegPortOutLcl_Data__9__i_2 "bRegPortOutLcl[Data][9]_i_2") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8406           (property INIT (string "64'hFFFFF888F888F888"))
8407         )
8408         (instance (rename bRegPortOutLcl_Data__9__i_3 "bRegPortOutLcl[Data][9]_i_3") (viewref netlist (cellref LUT5 (libraryref hdi_primitives)))
8409           (property INIT (string "32'hFFFF88F8"))
8410         )
8411         (instance (rename bRegPortOutLcl_Data__9__i_4 "bRegPortOutLcl[Data][9]_i_4") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8412           (property INIT (string "64'h88F8888888888888"))
8413         )
8414         (instance (rename bRegPortOutLcl_Data__9__i_5 "bRegPortOutLcl[Data][9]_i_5") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8415           (property INIT (string "64'hFFFFF888F888F888"))
8416         )
8417         (instance (rename bRegPortOutLcl_reg_Data__0_ "bRegPortOutLcl_reg[Data][0]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8418           (property INIT (string "1'b0"))
8419         )
8420         (instance (rename bRegPortOutLcl_reg_Data__10_ "bRegPortOutLcl_reg[Data][10]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8421           (property INIT (string "1'b0"))
8422         )
8423         (instance (rename bRegPortOutLcl_reg_Data__11_ "bRegPortOutLcl_reg[Data][11]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8424           (property INIT (string "1'b0"))
8425         )
8426         (instance (rename bRegPortOutLcl_reg_Data__12_ "bRegPortOutLcl_reg[Data][12]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8427           (property INIT (string "1'b0"))
8428         )
8429         (instance (rename bRegPortOutLcl_reg_Data__13_ "bRegPortOutLcl_reg[Data][13]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8430           (property INIT (string "1'b0"))
8431         )
8432         (instance (rename bRegPortOutLcl_reg_Data__14_ "bRegPortOutLcl_reg[Data][14]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8433           (property INIT (string "1'b0"))
8434         )
8435         (instance (rename bRegPortOutLcl_reg_Data__15_ "bRegPortOutLcl_reg[Data][15]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8436           (property INIT (string "1'b0"))
8437         )
8438         (instance (rename bRegPortOutLcl_reg_Data__16_ "bRegPortOutLcl_reg[Data][16]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8439           (property INIT (string "1'b0"))
8440         )
8441         (instance (rename bRegPortOutLcl_reg_Data__17_ "bRegPortOutLcl_reg[Data][17]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8442           (property INIT (string "1'b0"))
8443         )
8444         (instance (rename bRegPortOutLcl_reg_Data__18_ "bRegPortOutLcl_reg[Data][18]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8445           (property INIT (string "1'b0"))
8446         )
8447         (instance (rename bRegPortOutLcl_reg_Data__19_ "bRegPortOutLcl_reg[Data][19]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8448           (property INIT (string "1'b0"))
8449         )
8450         (instance (rename bRegPortOutLcl_reg_Data__1_ "bRegPortOutLcl_reg[Data][1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8451           (property INIT (string "1'b0"))
8452         )
8453         (instance (rename bRegPortOutLcl_reg_Data__20_ "bRegPortOutLcl_reg[Data][20]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8454           (property INIT (string "1'b0"))
8455         )
8456         (instance (rename bRegPortOutLcl_reg_Data__21_ "bRegPortOutLcl_reg[Data][21]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8457           (property INIT (string "1'b0"))
8458         )
8459         (instance (rename bRegPortOutLcl_reg_Data__22_ "bRegPortOutLcl_reg[Data][22]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8460           (property INIT (string "1'b0"))
8461         )
8462         (instance (rename bRegPortOutLcl_reg_Data__23_ "bRegPortOutLcl_reg[Data][23]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8463           (property INIT (string "1'b0"))
8464         )
8465         (instance (rename bRegPortOutLcl_reg_Data__24_ "bRegPortOutLcl_reg[Data][24]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8466           (property INIT (string "1'b0"))
8467         )
8468         (instance (rename bRegPortOutLcl_reg_Data__25_ "bRegPortOutLcl_reg[Data][25]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8469           (property INIT (string "1'b0"))
8470         )
8471         (instance (rename bRegPortOutLcl_reg_Data__26_ "bRegPortOutLcl_reg[Data][26]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8472           (property INIT (string "1'b0"))
8473         )
8474         (instance (rename bRegPortOutLcl_reg_Data__27_ "bRegPortOutLcl_reg[Data][27]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8475           (property INIT (string "1'b0"))
8476         )
8477         (instance (rename bRegPortOutLcl_reg_Data__28_ "bRegPortOutLcl_reg[Data][28]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8478           (property INIT (string "1'b0"))
8479         )
8480         (instance (rename bRegPortOutLcl_reg_Data__29_ "bRegPortOutLcl_reg[Data][29]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8481           (property INIT (string "1'b0"))
8482         )
8483         (instance (rename bRegPortOutLcl_reg_Data__2_ "bRegPortOutLcl_reg[Data][2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8484           (property INIT (string "1'b0"))
8485         )
8486         (instance (rename bRegPortOutLcl_reg_Data__30_ "bRegPortOutLcl_reg[Data][30]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8487           (property INIT (string "1'b0"))
8488         )
8489         (instance (rename bRegPortOutLcl_reg_Data__31_ "bRegPortOutLcl_reg[Data][31]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8490           (property INIT (string "1'b0"))
8491         )
8492         (instance (rename bRegPortOutLcl_reg_Data__3_ "bRegPortOutLcl_reg[Data][3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8493           (property INIT (string "1'b0"))
8494         )
8495         (instance (rename bRegPortOutLcl_reg_Data__4_ "bRegPortOutLcl_reg[Data][4]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8496           (property INIT (string "1'b0"))
8497         )
8498         (instance (rename bRegPortOutLcl_reg_Data__5_ "bRegPortOutLcl_reg[Data][5]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8499           (property INIT (string "1'b0"))
8500         )
8501         (instance (rename bRegPortOutLcl_reg_Data__6_ "bRegPortOutLcl_reg[Data][6]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8502           (property INIT (string "1'b0"))
8503         )
8504         (instance (rename bRegPortOutLcl_reg_Data__7_ "bRegPortOutLcl_reg[Data][7]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8505           (property INIT (string "1'b0"))
8506         )
8507         (instance (rename bRegPortOutLcl_reg_Data__8_ "bRegPortOutLcl_reg[Data][8]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8508           (property INIT (string "1'b0"))
8509         )
8510         (instance (rename bRegPortOutLcl_reg_Data__9_ "bRegPortOutLcl_reg[Data][9]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8511           (property INIT (string "1'b0"))
8512         )
8513         (instance bResetTdc_i_1 (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8514           (property INIT (string "64'hFFEFFFFFFFEEEEEE"))
8515         )
8516         (instance bResetTdc_reg (viewref netlist (cellref FDPE (libraryref hdi_primitives)))
8517           (property INIT (string "1'b1"))
8518         )
8519         (instance (rename bRpOffsetStored_0__i_1 "bRpOffsetStored[0]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
8520           (property INIT (string "4'h2"))
8521           (property SOFT_HLUTNM (string "soft_lutpair49"))
8522         )
8523         (instance (rename bRpOffsetStored_10__i_1 "bRpOffsetStored[10]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
8524           (property INIT (string "4'h2"))
8525           (property SOFT_HLUTNM (string "soft_lutpair44"))
8526         )
8527         (instance (rename bRpOffsetStored_11__i_1 "bRpOffsetStored[11]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
8528           (property INIT (string "4'h2"))
8529           (property SOFT_HLUTNM (string "soft_lutpair43"))
8530         )
8531         (instance (rename bRpOffsetStored_12__i_1 "bRpOffsetStored[12]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
8532           (property INIT (string "4'h2"))
8533           (property SOFT_HLUTNM (string "soft_lutpair43"))
8534         )
8535         (instance (rename bRpOffsetStored_13__i_1 "bRpOffsetStored[13]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
8536           (property INIT (string "4'h2"))
8537           (property SOFT_HLUTNM (string "soft_lutpair42"))
8538         )
8539         (instance (rename bRpOffsetStored_14__i_1 "bRpOffsetStored[14]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
8540           (property INIT (string "4'h2"))
8541           (property SOFT_HLUTNM (string "soft_lutpair42"))
8542         )
8543         (instance (rename bRpOffsetStored_15__i_1 "bRpOffsetStored[15]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
8544           (property INIT (string "4'h2"))
8545           (property SOFT_HLUTNM (string "soft_lutpair41"))
8546         )
8547         (instance (rename bRpOffsetStored_16__i_1 "bRpOffsetStored[16]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
8548           (property INIT (string "4'h2"))
8549           (property SOFT_HLUTNM (string "soft_lutpair41"))
8550         )
8551         (instance (rename bRpOffsetStored_17__i_1 "bRpOffsetStored[17]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
8552           (property INIT (string "4'h2"))
8553           (property SOFT_HLUTNM (string "soft_lutpair40"))
8554         )
8555         (instance (rename bRpOffsetStored_18__i_1 "bRpOffsetStored[18]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
8556           (property INIT (string "4'h2"))
8557           (property SOFT_HLUTNM (string "soft_lutpair40"))
8558         )
8559         (instance (rename bRpOffsetStored_19__i_1 "bRpOffsetStored[19]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
8560           (property INIT (string "4'h2"))
8561           (property SOFT_HLUTNM (string "soft_lutpair39"))
8562         )
8563         (instance (rename bRpOffsetStored_1__i_1 "bRpOffsetStored[1]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
8564           (property INIT (string "4'h2"))
8565           (property SOFT_HLUTNM (string "soft_lutpair48"))
8566         )
8567         (instance (rename bRpOffsetStored_20__i_1 "bRpOffsetStored[20]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
8568           (property INIT (string "4'h2"))
8569           (property SOFT_HLUTNM (string "soft_lutpair39"))
8570         )
8571         (instance (rename bRpOffsetStored_21__i_1 "bRpOffsetStored[21]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
8572           (property INIT (string "4'h2"))
8573           (property SOFT_HLUTNM (string "soft_lutpair38"))
8574         )
8575         (instance (rename bRpOffsetStored_22__i_1 "bRpOffsetStored[22]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
8576           (property INIT (string "4'h2"))
8577           (property SOFT_HLUTNM (string "soft_lutpair38"))
8578         )
8579         (instance (rename bRpOffsetStored_23__i_1 "bRpOffsetStored[23]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
8580           (property INIT (string "4'h2"))
8581           (property SOFT_HLUTNM (string "soft_lutpair37"))
8582         )
8583         (instance (rename bRpOffsetStored_24__i_1 "bRpOffsetStored[24]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
8584           (property INIT (string "4'h2"))
8585           (property SOFT_HLUTNM (string "soft_lutpair37"))
8586         )
8587         (instance (rename bRpOffsetStored_25__i_1 "bRpOffsetStored[25]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
8588           (property INIT (string "4'h2"))
8589           (property SOFT_HLUTNM (string "soft_lutpair36"))
8590         )
8591         (instance (rename bRpOffsetStored_26__i_1 "bRpOffsetStored[26]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
8592           (property INIT (string "4'h2"))
8593           (property SOFT_HLUTNM (string "soft_lutpair36"))
8594         )
8595         (instance (rename bRpOffsetStored_27__i_1 "bRpOffsetStored[27]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
8596           (property INIT (string "4'h2"))
8597           (property SOFT_HLUTNM (string "soft_lutpair35"))
8598         )
8599         (instance (rename bRpOffsetStored_28__i_1 "bRpOffsetStored[28]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
8600           (property INIT (string "4'h2"))
8601           (property SOFT_HLUTNM (string "soft_lutpair35"))
8602         )
8603         (instance (rename bRpOffsetStored_29__i_1 "bRpOffsetStored[29]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
8604           (property INIT (string "4'h2"))
8605           (property SOFT_HLUTNM (string "soft_lutpair34"))
8606         )
8607         (instance (rename bRpOffsetStored_2__i_1 "bRpOffsetStored[2]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
8608           (property INIT (string "4'h2"))
8609           (property SOFT_HLUTNM (string "soft_lutpair48"))
8610         )
8611         (instance (rename bRpOffsetStored_30__i_1 "bRpOffsetStored[30]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
8612           (property INIT (string "4'h2"))
8613           (property SOFT_HLUTNM (string "soft_lutpair34"))
8614         )
8615         (instance (rename bRpOffsetStored_31__i_1 "bRpOffsetStored[31]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
8616           (property INIT (string "4'h2"))
8617           (property SOFT_HLUTNM (string "soft_lutpair33"))
8618         )
8619         (instance (rename bRpOffsetStored_32__i_1 "bRpOffsetStored[32]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
8620           (property INIT (string "4'h2"))
8621           (property SOFT_HLUTNM (string "soft_lutpair33"))
8622         )
8623         (instance (rename bRpOffsetStored_33__i_1 "bRpOffsetStored[33]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
8624           (property INIT (string "4'h2"))
8625           (property SOFT_HLUTNM (string "soft_lutpair32"))
8626         )
8627         (instance (rename bRpOffsetStored_34__i_1 "bRpOffsetStored[34]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
8628           (property INIT (string "4'h2"))
8629           (property SOFT_HLUTNM (string "soft_lutpair32"))
8630         )
8631         (instance (rename bRpOffsetStored_35__i_1 "bRpOffsetStored[35]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
8632           (property INIT (string "4'h2"))
8633           (property SOFT_HLUTNM (string "soft_lutpair31"))
8634         )
8635         (instance (rename bRpOffsetStored_36__i_1 "bRpOffsetStored[36]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
8636           (property INIT (string "4'h2"))
8637           (property SOFT_HLUTNM (string "soft_lutpair31"))
8638         )
8639         (instance (rename bRpOffsetStored_37__i_1 "bRpOffsetStored[37]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
8640           (property INIT (string "4'h2"))
8641           (property SOFT_HLUTNM (string "soft_lutpair30"))
8642         )
8643         (instance (rename bRpOffsetStored_38__i_1 "bRpOffsetStored[38]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
8644           (property INIT (string "4'h2"))
8645           (property SOFT_HLUTNM (string "soft_lutpair30"))
8646         )
8647         (instance (rename bRpOffsetStored_39__i_1 "bRpOffsetStored[39]_i_1") (viewref netlist (cellref LUT5 (libraryref hdi_primitives)))
8648           (property INIT (string "32'hFFFF8000"))
8649         )
8650         (instance (rename bRpOffsetStored_39__i_2 "bRpOffsetStored[39]_i_2") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
8651           (property INIT (string "4'h2"))
8652           (property SOFT_HLUTNM (string "soft_lutpair29"))
8653         )
8654         (instance (rename bRpOffsetStored_39__i_3 "bRpOffsetStored[39]_i_3") (viewref netlist (cellref LUT4 (libraryref hdi_primitives)))
8655           (property INIT (string "16'h0008"))
8656           (property SOFT_HLUTNM (string "soft_lutpair2"))
8657         )
8658         (instance (rename bRpOffsetStored_39__i_4 "bRpOffsetStored[39]_i_4") (viewref netlist (cellref LUT5 (libraryref hdi_primitives)))
8659           (property INIT (string "32'h00000004"))
8660           (property SOFT_HLUTNM (string "soft_lutpair0"))
8661         )
8662         (instance (rename bRpOffsetStored_3__i_1 "bRpOffsetStored[3]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
8663           (property INIT (string "4'h2"))
8664           (property SOFT_HLUTNM (string "soft_lutpair47"))
8665         )
8666         (instance (rename bRpOffsetStored_4__i_1 "bRpOffsetStored[4]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
8667           (property INIT (string "4'h2"))
8668           (property SOFT_HLUTNM (string "soft_lutpair47"))
8669         )
8670         (instance (rename bRpOffsetStored_5__i_1 "bRpOffsetStored[5]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
8671           (property INIT (string "4'h2"))
8672           (property SOFT_HLUTNM (string "soft_lutpair46"))
8673         )
8674         (instance (rename bRpOffsetStored_6__i_1 "bRpOffsetStored[6]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
8675           (property INIT (string "4'h2"))
8676           (property SOFT_HLUTNM (string "soft_lutpair46"))
8677         )
8678         (instance (rename bRpOffsetStored_7__i_1 "bRpOffsetStored[7]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
8679           (property INIT (string "4'h2"))
8680           (property SOFT_HLUTNM (string "soft_lutpair45"))
8681         )
8682         (instance (rename bRpOffsetStored_8__i_1 "bRpOffsetStored[8]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
8683           (property INIT (string "4'h2"))
8684           (property SOFT_HLUTNM (string "soft_lutpair45"))
8685         )
8686         (instance (rename bRpOffsetStored_9__i_1 "bRpOffsetStored[9]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
8687           (property INIT (string "4'h2"))
8688           (property SOFT_HLUTNM (string "soft_lutpair44"))
8689         )
8690         (instance (rename bRpOffsetStored_reg_0_ "bRpOffsetStored_reg[0]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8691           (property INIT (string "1'b0"))
8692         )
8693         (instance (rename bRpOffsetStored_reg_10_ "bRpOffsetStored_reg[10]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8694           (property INIT (string "1'b0"))
8695         )
8696         (instance (rename bRpOffsetStored_reg_11_ "bRpOffsetStored_reg[11]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8697           (property INIT (string "1'b0"))
8698         )
8699         (instance (rename bRpOffsetStored_reg_12_ "bRpOffsetStored_reg[12]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8700           (property INIT (string "1'b0"))
8701         )
8702         (instance (rename bRpOffsetStored_reg_13_ "bRpOffsetStored_reg[13]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8703           (property INIT (string "1'b0"))
8704         )
8705         (instance (rename bRpOffsetStored_reg_14_ "bRpOffsetStored_reg[14]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8706           (property INIT (string "1'b0"))
8707         )
8708         (instance (rename bRpOffsetStored_reg_15_ "bRpOffsetStored_reg[15]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8709           (property INIT (string "1'b0"))
8710         )
8711         (instance (rename bRpOffsetStored_reg_16_ "bRpOffsetStored_reg[16]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8712           (property INIT (string "1'b0"))
8713         )
8714         (instance (rename bRpOffsetStored_reg_17_ "bRpOffsetStored_reg[17]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8715           (property INIT (string "1'b0"))
8716         )
8717         (instance (rename bRpOffsetStored_reg_18_ "bRpOffsetStored_reg[18]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8718           (property INIT (string "1'b0"))
8719         )
8720         (instance (rename bRpOffsetStored_reg_19_ "bRpOffsetStored_reg[19]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8721           (property INIT (string "1'b0"))
8722         )
8723         (instance (rename bRpOffsetStored_reg_1_ "bRpOffsetStored_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8724           (property INIT (string "1'b0"))
8725         )
8726         (instance (rename bRpOffsetStored_reg_20_ "bRpOffsetStored_reg[20]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8727           (property INIT (string "1'b0"))
8728         )
8729         (instance (rename bRpOffsetStored_reg_21_ "bRpOffsetStored_reg[21]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8730           (property INIT (string "1'b0"))
8731         )
8732         (instance (rename bRpOffsetStored_reg_22_ "bRpOffsetStored_reg[22]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8733           (property INIT (string "1'b0"))
8734         )
8735         (instance (rename bRpOffsetStored_reg_23_ "bRpOffsetStored_reg[23]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8736           (property INIT (string "1'b0"))
8737         )
8738         (instance (rename bRpOffsetStored_reg_24_ "bRpOffsetStored_reg[24]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8739           (property INIT (string "1'b0"))
8740         )
8741         (instance (rename bRpOffsetStored_reg_25_ "bRpOffsetStored_reg[25]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8742           (property INIT (string "1'b0"))
8743         )
8744         (instance (rename bRpOffsetStored_reg_26_ "bRpOffsetStored_reg[26]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8745           (property INIT (string "1'b0"))
8746         )
8747         (instance (rename bRpOffsetStored_reg_27_ "bRpOffsetStored_reg[27]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8748           (property INIT (string "1'b0"))
8749         )
8750         (instance (rename bRpOffsetStored_reg_28_ "bRpOffsetStored_reg[28]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8751           (property INIT (string "1'b0"))
8752         )
8753         (instance (rename bRpOffsetStored_reg_29_ "bRpOffsetStored_reg[29]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8754           (property INIT (string "1'b0"))
8755         )
8756         (instance (rename bRpOffsetStored_reg_2_ "bRpOffsetStored_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8757           (property INIT (string "1'b0"))
8758         )
8759         (instance (rename bRpOffsetStored_reg_30_ "bRpOffsetStored_reg[30]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8760           (property INIT (string "1'b0"))
8761         )
8762         (instance (rename bRpOffsetStored_reg_31_ "bRpOffsetStored_reg[31]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8763           (property INIT (string "1'b0"))
8764         )
8765         (instance (rename bRpOffsetStored_reg_32_ "bRpOffsetStored_reg[32]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8766           (property INIT (string "1'b0"))
8767         )
8768         (instance (rename bRpOffsetStored_reg_33_ "bRpOffsetStored_reg[33]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8769           (property INIT (string "1'b0"))
8770         )
8771         (instance (rename bRpOffsetStored_reg_34_ "bRpOffsetStored_reg[34]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8772           (property INIT (string "1'b0"))
8773         )
8774         (instance (rename bRpOffsetStored_reg_35_ "bRpOffsetStored_reg[35]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8775           (property INIT (string "1'b0"))
8776         )
8777         (instance (rename bRpOffsetStored_reg_36_ "bRpOffsetStored_reg[36]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8778           (property INIT (string "1'b0"))
8779         )
8780         (instance (rename bRpOffsetStored_reg_37_ "bRpOffsetStored_reg[37]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8781           (property INIT (string "1'b0"))
8782         )
8783         (instance (rename bRpOffsetStored_reg_38_ "bRpOffsetStored_reg[38]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8784           (property INIT (string "1'b0"))
8785         )
8786         (instance (rename bRpOffsetStored_reg_39_ "bRpOffsetStored_reg[39]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8787           (property INIT (string "1'b0"))
8788         )
8789         (instance (rename bRpOffsetStored_reg_3_ "bRpOffsetStored_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8790           (property INIT (string "1'b0"))
8791         )
8792         (instance (rename bRpOffsetStored_reg_4_ "bRpOffsetStored_reg[4]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8793           (property INIT (string "1'b0"))
8794         )
8795         (instance (rename bRpOffsetStored_reg_5_ "bRpOffsetStored_reg[5]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8796           (property INIT (string "1'b0"))
8797         )
8798         (instance (rename bRpOffsetStored_reg_6_ "bRpOffsetStored_reg[6]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8799           (property INIT (string "1'b0"))
8800         )
8801         (instance (rename bRpOffsetStored_reg_7_ "bRpOffsetStored_reg[7]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8802           (property INIT (string "1'b0"))
8803         )
8804         (instance (rename bRpOffsetStored_reg_8_ "bRpOffsetStored_reg[8]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8805           (property INIT (string "1'b0"))
8806         )
8807         (instance (rename bRpOffsetStored_reg_9_ "bRpOffsetStored_reg[9]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8808           (property INIT (string "1'b0"))
8809         )
8810         (instance (rename bScratch_0__i_1 "bScratch[0]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
8811           (property INIT (string "8'h02"))
8812           (property SOFT_HLUTNM (string "soft_lutpair28"))
8813         )
8814         (instance (rename bScratch_10__i_1 "bScratch[10]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
8815           (property INIT (string "8'h02"))
8816           (property SOFT_HLUTNM (string "soft_lutpair14"))
8817         )
8818         (instance (rename bScratch_11__i_1 "bScratch[11]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
8819           (property INIT (string "8'h02"))
8820           (property SOFT_HLUTNM (string "soft_lutpair15"))
8821         )
8822         (instance (rename bScratch_12__i_1 "bScratch[12]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
8823           (property INIT (string "8'h02"))
8824           (property SOFT_HLUTNM (string "soft_lutpair17"))
8825         )
8826         (instance (rename bScratch_13__i_1 "bScratch[13]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
8827           (property INIT (string "8'h02"))
8828           (property SOFT_HLUTNM (string "soft_lutpair16"))
8829         )
8830         (instance (rename bScratch_14__i_1 "bScratch[14]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
8831           (property INIT (string "8'h02"))
8832           (property SOFT_HLUTNM (string "soft_lutpair17"))
8833         )
8834         (instance (rename bScratch_15__i_1 "bScratch[15]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
8835           (property INIT (string "8'h02"))
8836           (property SOFT_HLUTNM (string "soft_lutpair18"))
8837         )
8838         (instance (rename bScratch_1__i_1 "bScratch[1]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
8839           (property INIT (string "8'h02"))
8840           (property SOFT_HLUTNM (string "soft_lutpair6"))
8841         )
8842         (instance (rename bScratch_20__i_1 "bScratch[20]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
8843           (property INIT (string "8'h02"))
8844           (property SOFT_HLUTNM (string "soft_lutpair16"))
8845         )
8846         (instance (rename bScratch_21__i_1 "bScratch[21]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
8847           (property INIT (string "8'h02"))
8848           (property SOFT_HLUTNM (string "soft_lutpair20"))
8849         )
8850         (instance (rename bScratch_22__i_1 "bScratch[22]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
8851           (property INIT (string "8'h02"))
8852           (property SOFT_HLUTNM (string "soft_lutpair14"))
8853         )
8854         (instance (rename bScratch_23__i_1 "bScratch[23]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
8855           (property INIT (string "8'h02"))
8856           (property SOFT_HLUTNM (string "soft_lutpair20"))
8857         )
8858         (instance (rename bScratch_24__i_1 "bScratch[24]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
8859           (property INIT (string "8'h02"))
8860           (property SOFT_HLUTNM (string "soft_lutpair28"))
8861         )
8862         (instance (rename bScratch_28__i_1 "bScratch[28]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
8863           (property INIT (string "8'h02"))
8864           (property SOFT_HLUTNM (string "soft_lutpair12"))
8865         )
8866         (instance (rename bScratch_29__i_1 "bScratch[29]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
8867           (property INIT (string "8'h02"))
8868           (property SOFT_HLUTNM (string "soft_lutpair19"))
8869         )
8870         (instance (rename bScratch_2__i_1 "bScratch[2]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
8871           (property INIT (string "8'h02"))
8872           (property SOFT_HLUTNM (string "soft_lutpair7"))
8873         )
8874         (instance (rename bScratch_30__i_1 "bScratch[30]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
8875           (property INIT (string "8'h02"))
8876           (property SOFT_HLUTNM (string "soft_lutpair13"))
8877         )
8878         (instance (rename bScratch_31__i_1 "bScratch[31]_i_1") (viewref netlist (cellref LUT6 (libraryref hdi_primitives)))
8879           (property INIT (string "64'hFFFFFFFF00800000"))
8880         )
8881         (instance (rename bScratch_31__i_2 "bScratch[31]_i_2") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
8882           (property INIT (string "8'h02"))
8883           (property SOFT_HLUTNM (string "soft_lutpair11"))
8884         )
8885         (instance (rename bScratch_31__i_3 "bScratch[31]_i_3") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
8886           (property INIT (string "8'h40"))
8887           (property SOFT_HLUTNM (string "soft_lutpair27"))
8888         )
8889         (instance (rename bScratch_31__i_4 "bScratch[31]_i_4") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
8890           (property INIT (string "4'h2"))
8891           (property SOFT_HLUTNM (string "soft_lutpair26"))
8892         )
8893         (instance (rename bScratch_31__i_5 "bScratch[31]_i_5") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
8894           (property INIT (string "4'hE"))
8895           (property SOFT_HLUTNM (string "soft_lutpair1"))
8896         )
8897         (instance (rename bScratch_3__i_1 "bScratch[3]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
8898           (property INIT (string "8'h02"))
8899           (property SOFT_HLUTNM (string "soft_lutpair9"))
8900         )
8901         (instance (rename bScratch_4__i_1 "bScratch[4]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
8902           (property INIT (string "8'h02"))
8903           (property SOFT_HLUTNM (string "soft_lutpair15"))
8904         )
8905         (instance (rename bScratch_5__i_1 "bScratch[5]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
8906           (property INIT (string "8'h02"))
8907           (property SOFT_HLUTNM (string "soft_lutpair10"))
8908         )
8909         (instance (rename bScratch_6__i_1 "bScratch[6]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
8910           (property INIT (string "8'h02"))
8911           (property SOFT_HLUTNM (string "soft_lutpair11"))
8912         )
8913         (instance (rename bScratch_7__i_1 "bScratch[7]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
8914           (property INIT (string "8'h02"))
8915           (property SOFT_HLUTNM (string "soft_lutpair12"))
8916         )
8917         (instance (rename bScratch_8__i_1 "bScratch[8]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
8918           (property INIT (string "8'h02"))
8919           (property SOFT_HLUTNM (string "soft_lutpair18"))
8920         )
8921         (instance (rename bScratch_9__i_1 "bScratch[9]_i_1") (viewref netlist (cellref LUT3 (libraryref hdi_primitives)))
8922           (property INIT (string "8'h02"))
8923           (property SOFT_HLUTNM (string "soft_lutpair13"))
8924         )
8925         (instance (rename bScratch_reg_0_ "bScratch_reg[0]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8926           (property INIT (string "1'b0"))
8927         )
8928         (instance (rename bScratch_reg_10_ "bScratch_reg[10]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8929           (property INIT (string "1'b0"))
8930         )
8931         (instance (rename bScratch_reg_11_ "bScratch_reg[11]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8932           (property INIT (string "1'b0"))
8933         )
8934         (instance (rename bScratch_reg_12_ "bScratch_reg[12]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8935           (property INIT (string "1'b0"))
8936         )
8937         (instance (rename bScratch_reg_13_ "bScratch_reg[13]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8938           (property INIT (string "1'b0"))
8939         )
8940         (instance (rename bScratch_reg_14_ "bScratch_reg[14]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8941           (property INIT (string "1'b0"))
8942         )
8943         (instance (rename bScratch_reg_15_ "bScratch_reg[15]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8944           (property INIT (string "1'b0"))
8945         )
8946         (instance (rename bScratch_reg_16_ "bScratch_reg[16]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8947           (property INIT (string "1'b0"))
8948         )
8949         (instance (rename bScratch_reg_17_ "bScratch_reg[17]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8950           (property INIT (string "1'b0"))
8951         )
8952         (instance (rename bScratch_reg_18_ "bScratch_reg[18]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8953           (property INIT (string "1'b0"))
8954         )
8955         (instance (rename bScratch_reg_19_ "bScratch_reg[19]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8956           (property INIT (string "1'b0"))
8957         )
8958         (instance (rename bScratch_reg_1_ "bScratch_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8959           (property INIT (string "1'b0"))
8960         )
8961         (instance (rename bScratch_reg_20_ "bScratch_reg[20]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8962           (property INIT (string "1'b0"))
8963         )
8964         (instance (rename bScratch_reg_21_ "bScratch_reg[21]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8965           (property INIT (string "1'b0"))
8966         )
8967         (instance (rename bScratch_reg_22_ "bScratch_reg[22]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8968           (property INIT (string "1'b0"))
8969         )
8970         (instance (rename bScratch_reg_23_ "bScratch_reg[23]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8971           (property INIT (string "1'b0"))
8972         )
8973         (instance (rename bScratch_reg_24_ "bScratch_reg[24]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8974           (property INIT (string "1'b0"))
8975         )
8976         (instance (rename bScratch_reg_25_ "bScratch_reg[25]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8977           (property INIT (string "1'b0"))
8978         )
8979         (instance (rename bScratch_reg_26_ "bScratch_reg[26]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8980           (property INIT (string "1'b0"))
8981         )
8982         (instance (rename bScratch_reg_27_ "bScratch_reg[27]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8983           (property INIT (string "1'b0"))
8984         )
8985         (instance (rename bScratch_reg_28_ "bScratch_reg[28]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8986           (property INIT (string "1'b0"))
8987         )
8988         (instance (rename bScratch_reg_29_ "bScratch_reg[29]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8989           (property INIT (string "1'b0"))
8990         )
8991         (instance (rename bScratch_reg_2_ "bScratch_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8992           (property INIT (string "1'b0"))
8993         )
8994         (instance (rename bScratch_reg_30_ "bScratch_reg[30]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8995           (property INIT (string "1'b0"))
8996         )
8997         (instance (rename bScratch_reg_31_ "bScratch_reg[31]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
8998           (property INIT (string "1'b0"))
8999         )
9000         (instance (rename bScratch_reg_3_ "bScratch_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9001           (property INIT (string "1'b0"))
9002         )
9003         (instance (rename bScratch_reg_4_ "bScratch_reg[4]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9004           (property INIT (string "1'b0"))
9005         )
9006         (instance (rename bScratch_reg_5_ "bScratch_reg[5]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9007           (property INIT (string "1'b0"))
9008         )
9009         (instance (rename bScratch_reg_6_ "bScratch_reg[6]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9010           (property INIT (string "1'b0"))
9011         )
9012         (instance (rename bScratch_reg_7_ "bScratch_reg[7]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9013           (property INIT (string "1'b0"))
9014         )
9015         (instance (rename bScratch_reg_8_ "bScratch_reg[8]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9016           (property INIT (string "1'b0"))
9017         )
9018         (instance (rename bScratch_reg_9_ "bScratch_reg[9]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9019           (property INIT (string "1'b0"))
9020         )
9021         (instance (rename bSpOffsetStored_0__i_1 "bSpOffsetStored[0]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
9022           (property INIT (string "4'h2"))
9023         )
9024         (instance (rename bSpOffsetStored_10__i_1 "bSpOffsetStored[10]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
9025           (property INIT (string "4'h2"))
9026           (property SOFT_HLUTNM (string "soft_lutpair60"))
9027         )
9028         (instance (rename bSpOffsetStored_11__i_1 "bSpOffsetStored[11]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
9029           (property INIT (string "4'h2"))
9030           (property SOFT_HLUTNM (string "soft_lutpair59"))
9031         )
9032         (instance (rename bSpOffsetStored_12__i_1 "bSpOffsetStored[12]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
9033           (property INIT (string "4'h2"))
9034           (property SOFT_HLUTNM (string "soft_lutpair59"))
9035         )
9036         (instance (rename bSpOffsetStored_13__i_1 "bSpOffsetStored[13]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
9037           (property INIT (string "4'h2"))
9038           (property SOFT_HLUTNM (string "soft_lutpair58"))
9039         )
9040         (instance (rename bSpOffsetStored_14__i_1 "bSpOffsetStored[14]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
9041           (property INIT (string "4'h2"))
9042           (property SOFT_HLUTNM (string "soft_lutpair58"))
9043         )
9044         (instance (rename bSpOffsetStored_15__i_1 "bSpOffsetStored[15]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
9045           (property INIT (string "4'h2"))
9046           (property SOFT_HLUTNM (string "soft_lutpair57"))
9047         )
9048         (instance (rename bSpOffsetStored_16__i_1 "bSpOffsetStored[16]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
9049           (property INIT (string "4'h2"))
9050           (property SOFT_HLUTNM (string "soft_lutpair57"))
9051         )
9052         (instance (rename bSpOffsetStored_17__i_1 "bSpOffsetStored[17]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
9053           (property INIT (string "4'h2"))
9054           (property SOFT_HLUTNM (string "soft_lutpair56"))
9055         )
9056         (instance (rename bSpOffsetStored_18__i_1 "bSpOffsetStored[18]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
9057           (property INIT (string "4'h2"))
9058           (property SOFT_HLUTNM (string "soft_lutpair56"))
9059         )
9060         (instance (rename bSpOffsetStored_19__i_1 "bSpOffsetStored[19]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
9061           (property INIT (string "4'h2"))
9062           (property SOFT_HLUTNM (string "soft_lutpair55"))
9063         )
9064         (instance (rename bSpOffsetStored_1__i_1 "bSpOffsetStored[1]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
9065           (property INIT (string "4'h2"))
9066           (property SOFT_HLUTNM (string "soft_lutpair64"))
9067         )
9068         (instance (rename bSpOffsetStored_20__i_1 "bSpOffsetStored[20]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
9069           (property INIT (string "4'h2"))
9070           (property SOFT_HLUTNM (string "soft_lutpair55"))
9071         )
9072         (instance (rename bSpOffsetStored_21__i_1 "bSpOffsetStored[21]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
9073           (property INIT (string "4'h2"))
9074           (property SOFT_HLUTNM (string "soft_lutpair54"))
9075         )
9076         (instance (rename bSpOffsetStored_22__i_1 "bSpOffsetStored[22]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
9077           (property INIT (string "4'h2"))
9078           (property SOFT_HLUTNM (string "soft_lutpair54"))
9079         )
9080         (instance (rename bSpOffsetStored_23__i_1 "bSpOffsetStored[23]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
9081           (property INIT (string "4'h2"))
9082           (property SOFT_HLUTNM (string "soft_lutpair53"))
9083         )
9084         (instance (rename bSpOffsetStored_24__i_1 "bSpOffsetStored[24]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
9085           (property INIT (string "4'h2"))
9086           (property SOFT_HLUTNM (string "soft_lutpair53"))
9087         )
9088         (instance (rename bSpOffsetStored_25__i_1 "bSpOffsetStored[25]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
9089           (property INIT (string "4'h2"))
9090           (property SOFT_HLUTNM (string "soft_lutpair52"))
9091         )
9092         (instance (rename bSpOffsetStored_26__i_1 "bSpOffsetStored[26]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
9093           (property INIT (string "4'h2"))
9094           (property SOFT_HLUTNM (string "soft_lutpair52"))
9095         )
9096         (instance (rename bSpOffsetStored_27__i_1 "bSpOffsetStored[27]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
9097           (property INIT (string "4'h2"))
9098           (property SOFT_HLUTNM (string "soft_lutpair51"))
9099         )
9100         (instance (rename bSpOffsetStored_28__i_1 "bSpOffsetStored[28]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
9101           (property INIT (string "4'h2"))
9102           (property SOFT_HLUTNM (string "soft_lutpair51"))
9103         )
9104         (instance (rename bSpOffsetStored_29__i_1 "bSpOffsetStored[29]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
9105           (property INIT (string "4'h2"))
9106           (property SOFT_HLUTNM (string "soft_lutpair50"))
9107         )
9108         (instance (rename bSpOffsetStored_2__i_1 "bSpOffsetStored[2]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
9109           (property INIT (string "4'h2"))
9110           (property SOFT_HLUTNM (string "soft_lutpair64"))
9111         )
9112         (instance (rename bSpOffsetStored_30__i_1 "bSpOffsetStored[30]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
9113           (property INIT (string "4'h2"))
9114           (property SOFT_HLUTNM (string "soft_lutpair50"))
9115         )
9116         (instance (rename bSpOffsetStored_31__i_1 "bSpOffsetStored[31]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
9117           (property INIT (string "4'h2"))
9118           (property SOFT_HLUTNM (string "soft_lutpair49"))
9119         )
9120         (instance (rename bSpOffsetStored_3__i_1 "bSpOffsetStored[3]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
9121           (property INIT (string "4'h2"))
9122           (property SOFT_HLUTNM (string "soft_lutpair63"))
9123         )
9124         (instance (rename bSpOffsetStored_4__i_1 "bSpOffsetStored[4]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
9125           (property INIT (string "4'h2"))
9126           (property SOFT_HLUTNM (string "soft_lutpair63"))
9127         )
9128         (instance (rename bSpOffsetStored_5__i_1 "bSpOffsetStored[5]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
9129           (property INIT (string "4'h2"))
9130           (property SOFT_HLUTNM (string "soft_lutpair62"))
9131         )
9132         (instance (rename bSpOffsetStored_6__i_1 "bSpOffsetStored[6]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
9133           (property INIT (string "4'h2"))
9134           (property SOFT_HLUTNM (string "soft_lutpair62"))
9135         )
9136         (instance (rename bSpOffsetStored_7__i_1 "bSpOffsetStored[7]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
9137           (property INIT (string "4'h2"))
9138           (property SOFT_HLUTNM (string "soft_lutpair61"))
9139         )
9140         (instance (rename bSpOffsetStored_8__i_1 "bSpOffsetStored[8]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
9141           (property INIT (string "4'h2"))
9142           (property SOFT_HLUTNM (string "soft_lutpair61"))
9143         )
9144         (instance (rename bSpOffsetStored_9__i_1 "bSpOffsetStored[9]_i_1") (viewref netlist (cellref LUT2 (libraryref hdi_primitives)))
9145           (property INIT (string "4'h2"))
9146           (property SOFT_HLUTNM (string "soft_lutpair60"))
9147         )
9148         (instance (rename bSpOffsetStored_reg_0_ "bSpOffsetStored_reg[0]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9149           (property INIT (string "1'b0"))
9150         )
9151         (instance (rename bSpOffsetStored_reg_10_ "bSpOffsetStored_reg[10]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9152           (property INIT (string "1'b0"))
9153         )
9154         (instance (rename bSpOffsetStored_reg_11_ "bSpOffsetStored_reg[11]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9155           (property INIT (string "1'b0"))
9156         )
9157         (instance (rename bSpOffsetStored_reg_12_ "bSpOffsetStored_reg[12]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9158           (property INIT (string "1'b0"))
9159         )
9160         (instance (rename bSpOffsetStored_reg_13_ "bSpOffsetStored_reg[13]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9161           (property INIT (string "1'b0"))
9162         )
9163         (instance (rename bSpOffsetStored_reg_14_ "bSpOffsetStored_reg[14]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9164           (property INIT (string "1'b0"))
9165         )
9166         (instance (rename bSpOffsetStored_reg_15_ "bSpOffsetStored_reg[15]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9167           (property INIT (string "1'b0"))
9168         )
9169         (instance (rename bSpOffsetStored_reg_16_ "bSpOffsetStored_reg[16]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9170           (property INIT (string "1'b0"))
9171         )
9172         (instance (rename bSpOffsetStored_reg_17_ "bSpOffsetStored_reg[17]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9173           (property INIT (string "1'b0"))
9174         )
9175         (instance (rename bSpOffsetStored_reg_18_ "bSpOffsetStored_reg[18]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9176           (property INIT (string "1'b0"))
9177         )
9178         (instance (rename bSpOffsetStored_reg_19_ "bSpOffsetStored_reg[19]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9179           (property INIT (string "1'b0"))
9180         )
9181         (instance (rename bSpOffsetStored_reg_1_ "bSpOffsetStored_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9182           (property INIT (string "1'b0"))
9183         )
9184         (instance (rename bSpOffsetStored_reg_20_ "bSpOffsetStored_reg[20]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9185           (property INIT (string "1'b0"))
9186         )
9187         (instance (rename bSpOffsetStored_reg_21_ "bSpOffsetStored_reg[21]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9188           (property INIT (string "1'b0"))
9189         )
9190         (instance (rename bSpOffsetStored_reg_22_ "bSpOffsetStored_reg[22]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9191           (property INIT (string "1'b0"))
9192         )
9193         (instance (rename bSpOffsetStored_reg_23_ "bSpOffsetStored_reg[23]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9194           (property INIT (string "1'b0"))
9195         )
9196         (instance (rename bSpOffsetStored_reg_24_ "bSpOffsetStored_reg[24]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9197           (property INIT (string "1'b0"))
9198         )
9199         (instance (rename bSpOffsetStored_reg_25_ "bSpOffsetStored_reg[25]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9200           (property INIT (string "1'b0"))
9201         )
9202         (instance (rename bSpOffsetStored_reg_26_ "bSpOffsetStored_reg[26]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9203           (property INIT (string "1'b0"))
9204         )
9205         (instance (rename bSpOffsetStored_reg_27_ "bSpOffsetStored_reg[27]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9206           (property INIT (string "1'b0"))
9207         )
9208         (instance (rename bSpOffsetStored_reg_28_ "bSpOffsetStored_reg[28]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9209           (property INIT (string "1'b0"))
9210         )
9211         (instance (rename bSpOffsetStored_reg_29_ "bSpOffsetStored_reg[29]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9212           (property INIT (string "1'b0"))
9213         )
9214         (instance (rename bSpOffsetStored_reg_2_ "bSpOffsetStored_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9215           (property INIT (string "1'b0"))
9216         )
9217         (instance (rename bSpOffsetStored_reg_30_ "bSpOffsetStored_reg[30]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9218           (property INIT (string "1'b0"))
9219         )
9220         (instance (rename bSpOffsetStored_reg_31_ "bSpOffsetStored_reg[31]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9221           (property INIT (string "1'b0"))
9222         )
9223         (instance (rename bSpOffsetStored_reg_3_ "bSpOffsetStored_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9224           (property INIT (string "1'b0"))
9225         )
9226         (instance (rename bSpOffsetStored_reg_4_ "bSpOffsetStored_reg[4]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9227           (property INIT (string "1'b0"))
9228         )
9229         (instance (rename bSpOffsetStored_reg_5_ "bSpOffsetStored_reg[5]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9230           (property INIT (string "1'b0"))
9231         )
9232         (instance (rename bSpOffsetStored_reg_6_ "bSpOffsetStored_reg[6]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9233           (property INIT (string "1'b0"))
9234         )
9235         (instance (rename bSpOffsetStored_reg_7_ "bSpOffsetStored_reg[7]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9236           (property INIT (string "1'b0"))
9237         )
9238         (instance (rename bSpOffsetStored_reg_8_ "bSpOffsetStored_reg[8]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9239           (property INIT (string "1'b0"))
9240         )
9241         (instance (rename bSpOffsetStored_reg_9_ "bSpOffsetStored_reg[9]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9242           (property INIT (string "1'b0"))
9243         )
9244         (instance (rename rPulserEnableDelayVal_reg_0_ "rPulserEnableDelayVal_reg[0]") (viewref netlist (cellref FDPE (libraryref hdi_primitives)))
9245           (property INIT (string "1'b1"))
9246         )
9247         (instance (rename rPulserEnableDelayVal_reg_1_ "rPulserEnableDelayVal_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9248           (property INIT (string "1'b0"))
9249         )
9250         (instance (rename rPulserEnableDelayVal_reg_2_ "rPulserEnableDelayVal_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9251           (property INIT (string "1'b0"))
9252         )
9253         (instance (rename rPulserEnableDelayVal_reg_3_ "rPulserEnableDelayVal_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9254           (property INIT (string "1'b0"))
9255         )
9256         (instance (rename sPpsClkCrossDelayVal_reg_0_ "sPpsClkCrossDelayVal_reg[0]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9257           (property INIT (string "1'b0"))
9258         )
9259         (instance (rename sPpsClkCrossDelayVal_reg_1_ "sPpsClkCrossDelayVal_reg[1]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9260           (property INIT (string "1'b0"))
9261         )
9262         (instance (rename sPpsClkCrossDelayVal_reg_2_ "sPpsClkCrossDelayVal_reg[2]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9263           (property INIT (string "1'b0"))
9264         )
9265         (instance (rename sPpsClkCrossDelayVal_reg_3_ "sPpsClkCrossDelayVal_reg[3]") (viewref netlist (cellref FDCE (libraryref hdi_primitives)))
9266           (property INIT (string "1'b0"))
9267         )
9268         (net (rename &_const0_ "<const0>") (joined
9269          (portref CLR (instanceref OffsetsDsGen_0__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9270          (portref CLR (instanceref OffsetsDsGen_0__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9271          (portref CLR (instanceref OffsetsDsGen_10__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9272          (portref CLR (instanceref OffsetsDsGen_10__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9273          (portref CLR (instanceref OffsetsDsGen_11__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9274          (portref CLR (instanceref OffsetsDsGen_11__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9275          (portref CLR (instanceref OffsetsDsGen_12__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9276          (portref CLR (instanceref OffsetsDsGen_12__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9277          (portref CLR (instanceref OffsetsDsGen_13__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9278          (portref CLR (instanceref OffsetsDsGen_13__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9279          (portref CLR (instanceref OffsetsDsGen_14__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9280          (portref CLR (instanceref OffsetsDsGen_14__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9281          (portref CLR (instanceref OffsetsDsGen_15__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9282          (portref CLR (instanceref OffsetsDsGen_15__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9283          (portref CLR (instanceref OffsetsDsGen_16__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9284          (portref CLR (instanceref OffsetsDsGen_16__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9285          (portref CLR (instanceref OffsetsDsGen_17__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9286          (portref CLR (instanceref OffsetsDsGen_17__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9287          (portref CLR (instanceref OffsetsDsGen_18__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9288          (portref CLR (instanceref OffsetsDsGen_18__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9289          (portref CLR (instanceref OffsetsDsGen_19__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9290          (portref CLR (instanceref OffsetsDsGen_19__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9291          (portref CLR (instanceref OffsetsDsGen_1__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9292          (portref CLR (instanceref OffsetsDsGen_1__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9293          (portref CLR (instanceref OffsetsDsGen_20__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9294          (portref CLR (instanceref OffsetsDsGen_20__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9295          (portref CLR (instanceref OffsetsDsGen_21__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9296          (portref CLR (instanceref OffsetsDsGen_21__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9297          (portref CLR (instanceref OffsetsDsGen_22__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9298          (portref CLR (instanceref OffsetsDsGen_22__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9299          (portref CLR (instanceref OffsetsDsGen_23__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9300          (portref CLR (instanceref OffsetsDsGen_23__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9301          (portref CLR (instanceref OffsetsDsGen_24__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9302          (portref CLR (instanceref OffsetsDsGen_24__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9303          (portref CLR (instanceref OffsetsDsGen_25__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9304          (portref CLR (instanceref OffsetsDsGen_25__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9305          (portref CLR (instanceref OffsetsDsGen_26__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9306          (portref CLR (instanceref OffsetsDsGen_26__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9307          (portref CLR (instanceref OffsetsDsGen_27__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9308          (portref CLR (instanceref OffsetsDsGen_27__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9309          (portref CLR (instanceref OffsetsDsGen_28__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9310          (portref CLR (instanceref OffsetsDsGen_28__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9311          (portref CLR (instanceref OffsetsDsGen_29__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9312          (portref CLR (instanceref OffsetsDsGen_29__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9313          (portref CLR (instanceref OffsetsDsGen_2__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9314          (portref CLR (instanceref OffsetsDsGen_2__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9315          (portref CLR (instanceref OffsetsDsGen_30__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9316          (portref CLR (instanceref OffsetsDsGen_30__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9317          (portref CLR (instanceref OffsetsDsGen_31__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9318          (portref CLR (instanceref OffsetsDsGen_31__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9319          (portref CLR (instanceref OffsetsDsGen_32__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9320          (portref CLR (instanceref OffsetsDsGen_32__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9321          (portref CLR (instanceref OffsetsDsGen_33__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9322          (portref CLR (instanceref OffsetsDsGen_33__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9323          (portref CLR (instanceref OffsetsDsGen_34__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9324          (portref CLR (instanceref OffsetsDsGen_34__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9325          (portref CLR (instanceref OffsetsDsGen_35__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9326          (portref CLR (instanceref OffsetsDsGen_35__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9327          (portref CLR (instanceref OffsetsDsGen_36__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9328          (portref CLR (instanceref OffsetsDsGen_36__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9329          (portref CLR (instanceref OffsetsDsGen_37__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9330          (portref CLR (instanceref OffsetsDsGen_37__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9331          (portref CLR (instanceref OffsetsDsGen_38__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9332          (portref CLR (instanceref OffsetsDsGen_38__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9333          (portref CLR (instanceref OffsetsDsGen_39__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9334          (portref CLR (instanceref OffsetsDsGen_39__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9335          (portref CLR (instanceref OffsetsDsGen_3__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9336          (portref CLR (instanceref OffsetsDsGen_3__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9337          (portref CLR (instanceref OffsetsDsGen_40__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9338          (portref CLR (instanceref OffsetsDsGen_40__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9339          (portref CLR (instanceref OffsetsDsGen_41__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9340          (portref CLR (instanceref OffsetsDsGen_41__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9341          (portref CLR (instanceref OffsetsDsGen_42__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9342          (portref CLR (instanceref OffsetsDsGen_42__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9343          (portref CLR (instanceref OffsetsDsGen_43__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9344          (portref CLR (instanceref OffsetsDsGen_43__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9345          (portref CLR (instanceref OffsetsDsGen_44__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9346          (portref CLR (instanceref OffsetsDsGen_44__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9347          (portref CLR (instanceref OffsetsDsGen_45__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9348          (portref CLR (instanceref OffsetsDsGen_45__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9349          (portref CLR (instanceref OffsetsDsGen_46__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9350          (portref CLR (instanceref OffsetsDsGen_46__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9351          (portref CLR (instanceref OffsetsDsGen_47__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9352          (portref CLR (instanceref OffsetsDsGen_47__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9353          (portref CLR (instanceref OffsetsDsGen_48__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9354          (portref CLR (instanceref OffsetsDsGen_48__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9355          (portref CLR (instanceref OffsetsDsGen_49__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9356          (portref CLR (instanceref OffsetsDsGen_49__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9357          (portref CLR (instanceref OffsetsDsGen_4__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9358          (portref CLR (instanceref OffsetsDsGen_4__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9359          (portref CLR (instanceref OffsetsDsGen_50__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9360          (portref CLR (instanceref OffsetsDsGen_50__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9361          (portref CLR (instanceref OffsetsDsGen_51__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9362          (portref CLR (instanceref OffsetsDsGen_51__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9363          (portref CLR (instanceref OffsetsDsGen_52__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9364          (portref CLR (instanceref OffsetsDsGen_52__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9365          (portref CLR (instanceref OffsetsDsGen_53__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9366          (portref CLR (instanceref OffsetsDsGen_53__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9367          (portref CLR (instanceref OffsetsDsGen_54__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9368          (portref CLR (instanceref OffsetsDsGen_54__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9369          (portref CLR (instanceref OffsetsDsGen_55__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9370          (portref CLR (instanceref OffsetsDsGen_55__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9371          (portref CLR (instanceref OffsetsDsGen_56__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9372          (portref CLR (instanceref OffsetsDsGen_56__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9373          (portref CLR (instanceref OffsetsDsGen_57__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9374          (portref CLR (instanceref OffsetsDsGen_57__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9375          (portref CLR (instanceref OffsetsDsGen_58__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9376          (portref CLR (instanceref OffsetsDsGen_58__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9377          (portref CLR (instanceref OffsetsDsGen_59__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9378          (portref CLR (instanceref OffsetsDsGen_59__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9379          (portref CLR (instanceref OffsetsDsGen_5__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9380          (portref CLR (instanceref OffsetsDsGen_5__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9381          (portref CLR (instanceref OffsetsDsGen_60__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9382          (portref CLR (instanceref OffsetsDsGen_60__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9383          (portref CLR (instanceref OffsetsDsGen_61__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9384          (portref CLR (instanceref OffsetsDsGen_61__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9385          (portref CLR (instanceref OffsetsDsGen_62__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9386          (portref CLR (instanceref OffsetsDsGen_62__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9387          (portref CLR (instanceref OffsetsDsGen_63__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9388          (portref CLR (instanceref OffsetsDsGen_63__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9389          (portref CLR (instanceref OffsetsDsGen_64__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9390          (portref CLR (instanceref OffsetsDsGen_64__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9391          (portref CLR (instanceref OffsetsDsGen_65__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9392          (portref CLR (instanceref OffsetsDsGen_65__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9393          (portref CLR (instanceref OffsetsDsGen_66__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9394          (portref CLR (instanceref OffsetsDsGen_66__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9395          (portref CLR (instanceref OffsetsDsGen_67__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9396          (portref CLR (instanceref OffsetsDsGen_67__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9397          (portref CLR (instanceref OffsetsDsGen_68__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9398          (portref CLR (instanceref OffsetsDsGen_68__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9399          (portref CLR (instanceref OffsetsDsGen_69__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9400          (portref CLR (instanceref OffsetsDsGen_69__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9401          (portref CLR (instanceref OffsetsDsGen_6__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9402          (portref CLR (instanceref OffsetsDsGen_6__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9403          (portref CLR (instanceref OffsetsDsGen_70__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9404          (portref CLR (instanceref OffsetsDsGen_70__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9405          (portref CLR (instanceref OffsetsDsGen_71__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9406          (portref CLR (instanceref OffsetsDsGen_71__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9407          (portref CLR (instanceref OffsetsDsGen_72__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9408          (portref CLR (instanceref OffsetsDsGen_72__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9409          (portref CLR (instanceref OffsetsDsGen_73__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9410          (portref CLR (instanceref OffsetsDsGen_73__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9411          (portref CLR (instanceref OffsetsDsGen_74__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9412          (portref CLR (instanceref OffsetsDsGen_74__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9413          (portref CLR (instanceref OffsetsDsGen_75__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9414          (portref CLR (instanceref OffsetsDsGen_75__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9415          (portref CLR (instanceref OffsetsDsGen_76__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9416          (portref CLR (instanceref OffsetsDsGen_76__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9417          (portref CLR (instanceref OffsetsDsGen_77__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9418          (portref CLR (instanceref OffsetsDsGen_77__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9419          (portref CLR (instanceref OffsetsDsGen_78__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9420          (portref CLR (instanceref OffsetsDsGen_78__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9421          (portref CLR (instanceref OffsetsDsGen_79__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9422          (portref CLR (instanceref OffsetsDsGen_79__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9423          (portref CLR (instanceref OffsetsDsGen_7__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9424          (portref CLR (instanceref OffsetsDsGen_7__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9425          (portref CLR (instanceref OffsetsDsGen_8__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9426          (portref CLR (instanceref OffsetsDsGen_8__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9427          (portref CLR (instanceref OffsetsDsGen_9__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9428          (portref CLR (instanceref OffsetsDsGen_9__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9429          (portref CLR (instanceref OffsetsValidDs_DoubleSyncSlAsyncInx_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9430          (portref CLR (instanceref OffsetsValidDs_DoubleSyncSlAsyncInx_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9431          (portref CLR (instanceref PpsCapturedDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9432          (portref CLR (instanceref PpsCapturedDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9433          (portref CLR (instanceref PpsCapturedDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
9434          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_0__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9435          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_0__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9436          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_10__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9437          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_10__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9438          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_11__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9439          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_11__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9440          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_12__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9441          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_12__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9442          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_13__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9443          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_13__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9444          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_14__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9445          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_14__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9446          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_15__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9447          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_15__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9448          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_16__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9449          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_16__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9450          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_17__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9451          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_17__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9452          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_18__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9453          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_18__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9454          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_19__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9455          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_19__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9456          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_1__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9457          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_1__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9458          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_20__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9459          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_20__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9460          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_21__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9461          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_21__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9462          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_22__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9463          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_22__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9464          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_23__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9465          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_23__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9466          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_24__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9467          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_24__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9468          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_25__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9469          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_25__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9470          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_26__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9471          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_26__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9472          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_27__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9473          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_27__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9474          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_28__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9475          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_28__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9476          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_29__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9477          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_29__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9478          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_2__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9479          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_2__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9480          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_30__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9481          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_30__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9482          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_31__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9483          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_31__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9484          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_3__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9485          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_3__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9486          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_4__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9487          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_4__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9488          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_5__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9489          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_5__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9490          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_6__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9491          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_6__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9492          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_7__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9493          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_7__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9494          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_8__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9495          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_8__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9496          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_9__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9497          (portref CLR (instanceref RePulseCnt1ReadbackDsGen_9__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9498          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_0__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9499          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_0__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9500          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_10__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9501          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_10__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9502          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_11__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9503          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_11__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9504          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_12__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9505          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_12__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9506          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_13__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9507          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_13__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9508          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_14__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9509          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_14__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9510          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_15__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9511          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_15__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9512          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_16__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9513          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_16__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9514          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_17__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9515          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_17__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9516          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_18__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9517          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_18__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9518          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_19__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9519          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_19__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9520          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_1__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9521          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_1__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9522          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_20__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9523          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_20__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9524          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_21__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9525          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_21__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9526          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_22__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9527          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_22__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9528          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_23__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9529          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_23__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9530          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_24__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9531          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_24__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9532          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_25__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9533          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_25__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9534          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_26__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9535          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_26__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9536          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_27__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9537          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_27__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9538          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_28__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9539          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_28__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9540          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_29__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9541          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_29__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9542          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_2__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9543          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_2__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9544          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_30__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9545          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_30__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9546          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_31__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9547          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_31__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9548          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_3__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9549          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_3__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9550          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_4__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9551          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_4__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9552          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_5__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9553          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_5__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9554          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_6__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9555          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_6__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9556          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_7__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9557          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_7__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9558          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_8__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9559          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_8__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9560          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_9__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9561          (portref CLR (instanceref RePulseCnt2ReadbackDsGen_9__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9562          (portref CLR (instanceref ResetDoneDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9563          (portref CLR (instanceref ResetDoneDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9564          (portref CLR (instanceref ResetDoneDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
9565          (portref CLR (instanceref RpCntReadbackDsGen_0__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9566          (portref CLR (instanceref RpCntReadbackDsGen_0__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9567          (portref CLR (instanceref RpCntReadbackDsGen_10__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9568          (portref CLR (instanceref RpCntReadbackDsGen_10__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9569          (portref CLR (instanceref RpCntReadbackDsGen_11__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9570          (portref CLR (instanceref RpCntReadbackDsGen_11__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9571          (portref CLR (instanceref RpCntReadbackDsGen_12__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9572          (portref CLR (instanceref RpCntReadbackDsGen_12__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9573          (portref CLR (instanceref RpCntReadbackDsGen_13__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9574          (portref CLR (instanceref RpCntReadbackDsGen_13__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9575          (portref CLR (instanceref RpCntReadbackDsGen_14__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9576          (portref CLR (instanceref RpCntReadbackDsGen_14__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9577          (portref CLR (instanceref RpCntReadbackDsGen_15__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9578          (portref CLR (instanceref RpCntReadbackDsGen_15__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9579          (portref CLR (instanceref RpCntReadbackDsGen_16__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9580          (portref CLR (instanceref RpCntReadbackDsGen_16__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9581          (portref CLR (instanceref RpCntReadbackDsGen_17__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9582          (portref CLR (instanceref RpCntReadbackDsGen_17__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9583          (portref CLR (instanceref RpCntReadbackDsGen_18__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9584          (portref CLR (instanceref RpCntReadbackDsGen_18__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9585          (portref CLR (instanceref RpCntReadbackDsGen_19__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9586          (portref CLR (instanceref RpCntReadbackDsGen_19__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9587          (portref CLR (instanceref RpCntReadbackDsGen_1__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9588          (portref CLR (instanceref RpCntReadbackDsGen_1__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9589          (portref CLR (instanceref RpCntReadbackDsGen_20__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9590          (portref CLR (instanceref RpCntReadbackDsGen_20__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9591          (portref CLR (instanceref RpCntReadbackDsGen_21__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9592          (portref CLR (instanceref RpCntReadbackDsGen_21__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9593          (portref CLR (instanceref RpCntReadbackDsGen_22__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9594          (portref CLR (instanceref RpCntReadbackDsGen_22__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9595          (portref CLR (instanceref RpCntReadbackDsGen_23__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9596          (portref CLR (instanceref RpCntReadbackDsGen_23__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9597          (portref CLR (instanceref RpCntReadbackDsGen_24__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9598          (portref CLR (instanceref RpCntReadbackDsGen_24__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9599          (portref CLR (instanceref RpCntReadbackDsGen_25__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9600          (portref CLR (instanceref RpCntReadbackDsGen_25__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9601          (portref CLR (instanceref RpCntReadbackDsGen_26__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9602          (portref CLR (instanceref RpCntReadbackDsGen_26__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9603          (portref CLR (instanceref RpCntReadbackDsGen_27__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9604          (portref CLR (instanceref RpCntReadbackDsGen_27__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9605          (portref CLR (instanceref RpCntReadbackDsGen_28__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9606          (portref CLR (instanceref RpCntReadbackDsGen_28__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9607          (portref CLR (instanceref RpCntReadbackDsGen_29__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9608          (portref CLR (instanceref RpCntReadbackDsGen_29__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9609          (portref CLR (instanceref RpCntReadbackDsGen_2__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9610          (portref CLR (instanceref RpCntReadbackDsGen_2__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9611          (portref CLR (instanceref RpCntReadbackDsGen_30__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9612          (portref CLR (instanceref RpCntReadbackDsGen_30__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9613          (portref CLR (instanceref RpCntReadbackDsGen_31__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9614          (portref CLR (instanceref RpCntReadbackDsGen_31__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9615          (portref CLR (instanceref RpCntReadbackDsGen_3__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9616          (portref CLR (instanceref RpCntReadbackDsGen_3__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9617          (portref CLR (instanceref RpCntReadbackDsGen_4__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9618          (portref CLR (instanceref RpCntReadbackDsGen_4__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9619          (portref CLR (instanceref RpCntReadbackDsGen_5__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9620          (portref CLR (instanceref RpCntReadbackDsGen_5__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9621          (portref CLR (instanceref RpCntReadbackDsGen_6__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9622          (portref CLR (instanceref RpCntReadbackDsGen_6__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9623          (portref CLR (instanceref RpCntReadbackDsGen_7__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9624          (portref CLR (instanceref RpCntReadbackDsGen_7__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9625          (portref CLR (instanceref RpCntReadbackDsGen_8__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9626          (portref CLR (instanceref RpCntReadbackDsGen_8__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9627          (portref CLR (instanceref RpCntReadbackDsGen_9__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9628          (portref CLR (instanceref RpCntReadbackDsGen_9__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9629          (portref CLR (instanceref RptCntReadbackDsGen_0__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9630          (portref CLR (instanceref RptCntReadbackDsGen_0__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9631          (portref CLR (instanceref RptCntReadbackDsGen_10__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9632          (portref CLR (instanceref RptCntReadbackDsGen_10__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9633          (portref CLR (instanceref RptCntReadbackDsGen_11__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9634          (portref CLR (instanceref RptCntReadbackDsGen_11__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9635          (portref CLR (instanceref RptCntReadbackDsGen_12__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9636          (portref CLR (instanceref RptCntReadbackDsGen_12__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9637          (portref CLR (instanceref RptCntReadbackDsGen_13__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9638          (portref CLR (instanceref RptCntReadbackDsGen_13__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9639          (portref CLR (instanceref RptCntReadbackDsGen_14__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9640          (portref CLR (instanceref RptCntReadbackDsGen_14__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9641          (portref CLR (instanceref RptCntReadbackDsGen_15__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9642          (portref CLR (instanceref RptCntReadbackDsGen_15__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9643          (portref CLR (instanceref RptCntReadbackDsGen_16__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9644          (portref CLR (instanceref RptCntReadbackDsGen_16__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9645          (portref CLR (instanceref RptCntReadbackDsGen_17__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9646          (portref CLR (instanceref RptCntReadbackDsGen_17__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9647          (portref CLR (instanceref RptCntReadbackDsGen_18__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9648          (portref CLR (instanceref RptCntReadbackDsGen_18__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9649          (portref CLR (instanceref RptCntReadbackDsGen_19__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9650          (portref CLR (instanceref RptCntReadbackDsGen_19__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9651          (portref CLR (instanceref RptCntReadbackDsGen_1__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9652          (portref CLR (instanceref RptCntReadbackDsGen_1__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9653          (portref CLR (instanceref RptCntReadbackDsGen_20__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9654          (portref CLR (instanceref RptCntReadbackDsGen_20__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9655          (portref CLR (instanceref RptCntReadbackDsGen_21__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9656          (portref CLR (instanceref RptCntReadbackDsGen_21__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9657          (portref CLR (instanceref RptCntReadbackDsGen_22__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9658          (portref CLR (instanceref RptCntReadbackDsGen_22__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9659          (portref CLR (instanceref RptCntReadbackDsGen_23__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9660          (portref CLR (instanceref RptCntReadbackDsGen_23__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9661          (portref CLR (instanceref RptCntReadbackDsGen_24__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9662          (portref CLR (instanceref RptCntReadbackDsGen_24__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9663          (portref CLR (instanceref RptCntReadbackDsGen_25__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9664          (portref CLR (instanceref RptCntReadbackDsGen_25__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9665          (portref CLR (instanceref RptCntReadbackDsGen_26__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9666          (portref CLR (instanceref RptCntReadbackDsGen_26__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9667          (portref CLR (instanceref RptCntReadbackDsGen_27__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9668          (portref CLR (instanceref RptCntReadbackDsGen_27__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9669          (portref CLR (instanceref RptCntReadbackDsGen_28__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9670          (portref CLR (instanceref RptCntReadbackDsGen_28__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9671          (portref CLR (instanceref RptCntReadbackDsGen_29__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9672          (portref CLR (instanceref RptCntReadbackDsGen_29__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9673          (portref CLR (instanceref RptCntReadbackDsGen_2__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9674          (portref CLR (instanceref RptCntReadbackDsGen_2__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9675          (portref CLR (instanceref RptCntReadbackDsGen_30__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9676          (portref CLR (instanceref RptCntReadbackDsGen_30__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9677          (portref CLR (instanceref RptCntReadbackDsGen_31__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9678          (portref CLR (instanceref RptCntReadbackDsGen_31__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9679          (portref CLR (instanceref RptCntReadbackDsGen_3__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9680          (portref CLR (instanceref RptCntReadbackDsGen_3__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9681          (portref CLR (instanceref RptCntReadbackDsGen_4__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9682          (portref CLR (instanceref RptCntReadbackDsGen_4__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9683          (portref CLR (instanceref RptCntReadbackDsGen_5__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9684          (portref CLR (instanceref RptCntReadbackDsGen_5__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9685          (portref CLR (instanceref RptCntReadbackDsGen_6__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9686          (portref CLR (instanceref RptCntReadbackDsGen_6__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9687          (portref CLR (instanceref RptCntReadbackDsGen_7__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9688          (portref CLR (instanceref RptCntReadbackDsGen_7__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9689          (portref CLR (instanceref RptCntReadbackDsGen_8__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9690          (portref CLR (instanceref RptCntReadbackDsGen_8__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9691          (portref CLR (instanceref RptCntReadbackDsGen_9__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9692          (portref CLR (instanceref RptCntReadbackDsGen_9__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9693          (portref CLR (instanceref SpCntReadbackDsGen_0__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9694          (portref CLR (instanceref SpCntReadbackDsGen_0__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9695          (portref CLR (instanceref SpCntReadbackDsGen_10__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9696          (portref CLR (instanceref SpCntReadbackDsGen_10__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9697          (portref CLR (instanceref SpCntReadbackDsGen_11__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9698          (portref CLR (instanceref SpCntReadbackDsGen_11__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9699          (portref CLR (instanceref SpCntReadbackDsGen_12__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9700          (portref CLR (instanceref SpCntReadbackDsGen_12__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9701          (portref CLR (instanceref SpCntReadbackDsGen_13__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9702          (portref CLR (instanceref SpCntReadbackDsGen_13__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9703          (portref CLR (instanceref SpCntReadbackDsGen_14__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9704          (portref CLR (instanceref SpCntReadbackDsGen_14__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9705          (portref CLR (instanceref SpCntReadbackDsGen_15__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9706          (portref CLR (instanceref SpCntReadbackDsGen_15__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9707          (portref CLR (instanceref SpCntReadbackDsGen_16__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9708          (portref CLR (instanceref SpCntReadbackDsGen_16__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9709          (portref CLR (instanceref SpCntReadbackDsGen_17__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9710          (portref CLR (instanceref SpCntReadbackDsGen_17__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9711          (portref CLR (instanceref SpCntReadbackDsGen_18__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9712          (portref CLR (instanceref SpCntReadbackDsGen_18__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9713          (portref CLR (instanceref SpCntReadbackDsGen_19__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9714          (portref CLR (instanceref SpCntReadbackDsGen_19__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9715          (portref CLR (instanceref SpCntReadbackDsGen_1__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9716          (portref CLR (instanceref SpCntReadbackDsGen_1__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9717          (portref CLR (instanceref SpCntReadbackDsGen_20__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9718          (portref CLR (instanceref SpCntReadbackDsGen_20__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9719          (portref CLR (instanceref SpCntReadbackDsGen_21__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9720          (portref CLR (instanceref SpCntReadbackDsGen_21__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9721          (portref CLR (instanceref SpCntReadbackDsGen_22__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9722          (portref CLR (instanceref SpCntReadbackDsGen_22__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9723          (portref CLR (instanceref SpCntReadbackDsGen_23__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9724          (portref CLR (instanceref SpCntReadbackDsGen_23__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9725          (portref CLR (instanceref SpCntReadbackDsGen_24__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9726          (portref CLR (instanceref SpCntReadbackDsGen_24__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9727          (portref CLR (instanceref SpCntReadbackDsGen_25__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9728          (portref CLR (instanceref SpCntReadbackDsGen_25__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9729          (portref CLR (instanceref SpCntReadbackDsGen_26__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9730          (portref CLR (instanceref SpCntReadbackDsGen_26__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9731          (portref CLR (instanceref SpCntReadbackDsGen_27__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9732          (portref CLR (instanceref SpCntReadbackDsGen_27__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9733          (portref CLR (instanceref SpCntReadbackDsGen_28__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9734          (portref CLR (instanceref SpCntReadbackDsGen_28__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9735          (portref CLR (instanceref SpCntReadbackDsGen_29__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9736          (portref CLR (instanceref SpCntReadbackDsGen_29__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9737          (portref CLR (instanceref SpCntReadbackDsGen_2__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9738          (portref CLR (instanceref SpCntReadbackDsGen_2__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9739          (portref CLR (instanceref SpCntReadbackDsGen_30__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9740          (portref CLR (instanceref SpCntReadbackDsGen_30__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9741          (portref CLR (instanceref SpCntReadbackDsGen_31__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9742          (portref CLR (instanceref SpCntReadbackDsGen_31__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9743          (portref CLR (instanceref SpCntReadbackDsGen_3__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9744          (portref CLR (instanceref SpCntReadbackDsGen_3__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9745          (portref CLR (instanceref SpCntReadbackDsGen_4__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9746          (portref CLR (instanceref SpCntReadbackDsGen_4__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9747          (portref CLR (instanceref SpCntReadbackDsGen_5__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9748          (portref CLR (instanceref SpCntReadbackDsGen_5__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9749          (portref CLR (instanceref SpCntReadbackDsGen_6__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9750          (portref CLR (instanceref SpCntReadbackDsGen_6__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9751          (portref CLR (instanceref SpCntReadbackDsGen_7__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9752          (portref CLR (instanceref SpCntReadbackDsGen_7__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9753          (portref CLR (instanceref SpCntReadbackDsGen_8__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9754          (portref CLR (instanceref SpCntReadbackDsGen_8__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9755          (portref CLR (instanceref SpCntReadbackDsGen_9__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9756          (portref CLR (instanceref SpCntReadbackDsGen_9__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9757          (portref CLR (instanceref SptCntReadbackDsGen_0__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9758          (portref CLR (instanceref SptCntReadbackDsGen_0__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9759          (portref CLR (instanceref SptCntReadbackDsGen_10__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9760          (portref CLR (instanceref SptCntReadbackDsGen_10__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9761          (portref CLR (instanceref SptCntReadbackDsGen_11__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9762          (portref CLR (instanceref SptCntReadbackDsGen_11__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9763          (portref CLR (instanceref SptCntReadbackDsGen_12__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9764          (portref CLR (instanceref SptCntReadbackDsGen_12__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9765          (portref CLR (instanceref SptCntReadbackDsGen_13__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9766          (portref CLR (instanceref SptCntReadbackDsGen_13__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9767          (portref CLR (instanceref SptCntReadbackDsGen_14__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9768          (portref CLR (instanceref SptCntReadbackDsGen_14__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9769          (portref CLR (instanceref SptCntReadbackDsGen_15__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9770          (portref CLR (instanceref SptCntReadbackDsGen_15__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9771          (portref CLR (instanceref SptCntReadbackDsGen_16__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9772          (portref CLR (instanceref SptCntReadbackDsGen_16__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9773          (portref CLR (instanceref SptCntReadbackDsGen_17__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9774          (portref CLR (instanceref SptCntReadbackDsGen_17__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9775          (portref CLR (instanceref SptCntReadbackDsGen_18__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9776          (portref CLR (instanceref SptCntReadbackDsGen_18__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9777          (portref CLR (instanceref SptCntReadbackDsGen_19__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9778          (portref CLR (instanceref SptCntReadbackDsGen_19__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9779          (portref CLR (instanceref SptCntReadbackDsGen_1__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9780          (portref CLR (instanceref SptCntReadbackDsGen_1__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9781          (portref CLR (instanceref SptCntReadbackDsGen_20__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9782          (portref CLR (instanceref SptCntReadbackDsGen_20__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9783          (portref CLR (instanceref SptCntReadbackDsGen_21__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9784          (portref CLR (instanceref SptCntReadbackDsGen_21__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9785          (portref CLR (instanceref SptCntReadbackDsGen_22__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9786          (portref CLR (instanceref SptCntReadbackDsGen_22__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9787          (portref CLR (instanceref SptCntReadbackDsGen_23__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9788          (portref CLR (instanceref SptCntReadbackDsGen_23__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9789          (portref CLR (instanceref SptCntReadbackDsGen_24__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9790          (portref CLR (instanceref SptCntReadbackDsGen_24__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9791          (portref CLR (instanceref SptCntReadbackDsGen_25__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9792          (portref CLR (instanceref SptCntReadbackDsGen_25__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9793          (portref CLR (instanceref SptCntReadbackDsGen_26__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9794          (portref CLR (instanceref SptCntReadbackDsGen_26__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9795          (portref CLR (instanceref SptCntReadbackDsGen_27__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9796          (portref CLR (instanceref SptCntReadbackDsGen_27__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9797          (portref CLR (instanceref SptCntReadbackDsGen_28__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9798          (portref CLR (instanceref SptCntReadbackDsGen_28__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9799          (portref CLR (instanceref SptCntReadbackDsGen_29__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9800          (portref CLR (instanceref SptCntReadbackDsGen_29__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9801          (portref CLR (instanceref SptCntReadbackDsGen_2__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9802          (portref CLR (instanceref SptCntReadbackDsGen_2__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9803          (portref CLR (instanceref SptCntReadbackDsGen_30__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9804          (portref CLR (instanceref SptCntReadbackDsGen_30__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9805          (portref CLR (instanceref SptCntReadbackDsGen_31__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9806          (portref CLR (instanceref SptCntReadbackDsGen_31__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9807          (portref CLR (instanceref SptCntReadbackDsGen_3__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9808          (portref CLR (instanceref SptCntReadbackDsGen_3__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9809          (portref CLR (instanceref SptCntReadbackDsGen_4__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9810          (portref CLR (instanceref SptCntReadbackDsGen_4__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9811          (portref CLR (instanceref SptCntReadbackDsGen_5__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9812          (portref CLR (instanceref SptCntReadbackDsGen_5__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9813          (portref CLR (instanceref SptCntReadbackDsGen_6__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9814          (portref CLR (instanceref SptCntReadbackDsGen_6__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9815          (portref CLR (instanceref SptCntReadbackDsGen_7__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9816          (portref CLR (instanceref SptCntReadbackDsGen_7__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9817          (portref CLR (instanceref SptCntReadbackDsGen_8__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9818          (portref CLR (instanceref SptCntReadbackDsGen_8__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9819          (portref CLR (instanceref SptCntReadbackDsGen_9__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9820          (portref CLR (instanceref SptCntReadbackDsGen_9__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9821          (portref G (instanceref GND))
9822          (portref (member bRegPortOutFlat 32))
9823          (portref (member rRePulseHighTimeInRClks 0))
9824          (portref (member rRpHighTimeInRClks 0))
9825          (portref (member rRptHighTimeInRClks 0))
9826          (portref (member sSpHighTimeInSClks 0))
9827          (portref (member sSptHighTimeInSClks 0))
9828          )
9829         )
9830         (net (rename &_const1_ "<const1>") (joined
9831          (portref CE (instanceref EnableTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9832          (portref CE (instanceref EnableTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9833          (portref CE (instanceref EnableTdcDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
9834          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iDlyPush_reg))
9835          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_oDataValid_reg))
9836          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx))
9837          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_oPushToggle2_reg))
9838          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx))
9839          (portref CE (instanceref OffsetsDsGen_0__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9840          (portref CE (instanceref OffsetsDsGen_0__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9841          (portref CE (instanceref OffsetsDsGen_10__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9842          (portref CE (instanceref OffsetsDsGen_10__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9843          (portref CE (instanceref OffsetsDsGen_11__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9844          (portref CE (instanceref OffsetsDsGen_11__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9845          (portref CE (instanceref OffsetsDsGen_12__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9846          (portref CE (instanceref OffsetsDsGen_12__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9847          (portref CE (instanceref OffsetsDsGen_13__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9848          (portref CE (instanceref OffsetsDsGen_13__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9849          (portref CE (instanceref OffsetsDsGen_14__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9850          (portref CE (instanceref OffsetsDsGen_14__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9851          (portref CE (instanceref OffsetsDsGen_15__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9852          (portref CE (instanceref OffsetsDsGen_15__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9853          (portref CE (instanceref OffsetsDsGen_16__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9854          (portref CE (instanceref OffsetsDsGen_16__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9855          (portref CE (instanceref OffsetsDsGen_17__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9856          (portref CE (instanceref OffsetsDsGen_17__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9857          (portref CE (instanceref OffsetsDsGen_18__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9858          (portref CE (instanceref OffsetsDsGen_18__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9859          (portref CE (instanceref OffsetsDsGen_19__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9860          (portref CE (instanceref OffsetsDsGen_19__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9861          (portref CE (instanceref OffsetsDsGen_1__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9862          (portref CE (instanceref OffsetsDsGen_1__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9863          (portref CE (instanceref OffsetsDsGen_20__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9864          (portref CE (instanceref OffsetsDsGen_20__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9865          (portref CE (instanceref OffsetsDsGen_21__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9866          (portref CE (instanceref OffsetsDsGen_21__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9867          (portref CE (instanceref OffsetsDsGen_22__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9868          (portref CE (instanceref OffsetsDsGen_22__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9869          (portref CE (instanceref OffsetsDsGen_23__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9870          (portref CE (instanceref OffsetsDsGen_23__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9871          (portref CE (instanceref OffsetsDsGen_24__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9872          (portref CE (instanceref OffsetsDsGen_24__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9873          (portref CE (instanceref OffsetsDsGen_25__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9874          (portref CE (instanceref OffsetsDsGen_25__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9875          (portref CE (instanceref OffsetsDsGen_26__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9876          (portref CE (instanceref OffsetsDsGen_26__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9877          (portref CE (instanceref OffsetsDsGen_27__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9878          (portref CE (instanceref OffsetsDsGen_27__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9879          (portref CE (instanceref OffsetsDsGen_28__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9880          (portref CE (instanceref OffsetsDsGen_28__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9881          (portref CE (instanceref OffsetsDsGen_29__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9882          (portref CE (instanceref OffsetsDsGen_29__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9883          (portref CE (instanceref OffsetsDsGen_2__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9884          (portref CE (instanceref OffsetsDsGen_2__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9885          (portref CE (instanceref OffsetsDsGen_30__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9886          (portref CE (instanceref OffsetsDsGen_30__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9887          (portref CE (instanceref OffsetsDsGen_31__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9888          (portref CE (instanceref OffsetsDsGen_31__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9889          (portref CE (instanceref OffsetsDsGen_32__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9890          (portref CE (instanceref OffsetsDsGen_32__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9891          (portref CE (instanceref OffsetsDsGen_33__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9892          (portref CE (instanceref OffsetsDsGen_33__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9893          (portref CE (instanceref OffsetsDsGen_34__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9894          (portref CE (instanceref OffsetsDsGen_34__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9895          (portref CE (instanceref OffsetsDsGen_35__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9896          (portref CE (instanceref OffsetsDsGen_35__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9897          (portref CE (instanceref OffsetsDsGen_36__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9898          (portref CE (instanceref OffsetsDsGen_36__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9899          (portref CE (instanceref OffsetsDsGen_37__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9900          (portref CE (instanceref OffsetsDsGen_37__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9901          (portref CE (instanceref OffsetsDsGen_38__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9902          (portref CE (instanceref OffsetsDsGen_38__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9903          (portref CE (instanceref OffsetsDsGen_39__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9904          (portref CE (instanceref OffsetsDsGen_39__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9905          (portref CE (instanceref OffsetsDsGen_3__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9906          (portref CE (instanceref OffsetsDsGen_3__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9907          (portref CE (instanceref OffsetsDsGen_40__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9908          (portref CE (instanceref OffsetsDsGen_40__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9909          (portref CE (instanceref OffsetsDsGen_41__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9910          (portref CE (instanceref OffsetsDsGen_41__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9911          (portref CE (instanceref OffsetsDsGen_42__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9912          (portref CE (instanceref OffsetsDsGen_42__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9913          (portref CE (instanceref OffsetsDsGen_43__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9914          (portref CE (instanceref OffsetsDsGen_43__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9915          (portref CE (instanceref OffsetsDsGen_44__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9916          (portref CE (instanceref OffsetsDsGen_44__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9917          (portref CE (instanceref OffsetsDsGen_45__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9918          (portref CE (instanceref OffsetsDsGen_45__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9919          (portref CE (instanceref OffsetsDsGen_46__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9920          (portref CE (instanceref OffsetsDsGen_46__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9921          (portref CE (instanceref OffsetsDsGen_47__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9922          (portref CE (instanceref OffsetsDsGen_47__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9923          (portref CE (instanceref OffsetsDsGen_48__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9924          (portref CE (instanceref OffsetsDsGen_48__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9925          (portref CE (instanceref OffsetsDsGen_49__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9926          (portref CE (instanceref OffsetsDsGen_49__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9927          (portref CE (instanceref OffsetsDsGen_4__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9928          (portref CE (instanceref OffsetsDsGen_4__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9929          (portref CE (instanceref OffsetsDsGen_50__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9930          (portref CE (instanceref OffsetsDsGen_50__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9931          (portref CE (instanceref OffsetsDsGen_51__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9932          (portref CE (instanceref OffsetsDsGen_51__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9933          (portref CE (instanceref OffsetsDsGen_52__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9934          (portref CE (instanceref OffsetsDsGen_52__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9935          (portref CE (instanceref OffsetsDsGen_53__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9936          (portref CE (instanceref OffsetsDsGen_53__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9937          (portref CE (instanceref OffsetsDsGen_54__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9938          (portref CE (instanceref OffsetsDsGen_54__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9939          (portref CE (instanceref OffsetsDsGen_55__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9940          (portref CE (instanceref OffsetsDsGen_55__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9941          (portref CE (instanceref OffsetsDsGen_56__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9942          (portref CE (instanceref OffsetsDsGen_56__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9943          (portref CE (instanceref OffsetsDsGen_57__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9944          (portref CE (instanceref OffsetsDsGen_57__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9945          (portref CE (instanceref OffsetsDsGen_58__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9946          (portref CE (instanceref OffsetsDsGen_58__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9947          (portref CE (instanceref OffsetsDsGen_59__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9948          (portref CE (instanceref OffsetsDsGen_59__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9949          (portref CE (instanceref OffsetsDsGen_5__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9950          (portref CE (instanceref OffsetsDsGen_5__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9951          (portref CE (instanceref OffsetsDsGen_60__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9952          (portref CE (instanceref OffsetsDsGen_60__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9953          (portref CE (instanceref OffsetsDsGen_61__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9954          (portref CE (instanceref OffsetsDsGen_61__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9955          (portref CE (instanceref OffsetsDsGen_62__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9956          (portref CE (instanceref OffsetsDsGen_62__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9957          (portref CE (instanceref OffsetsDsGen_63__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9958          (portref CE (instanceref OffsetsDsGen_63__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9959          (portref CE (instanceref OffsetsDsGen_64__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9960          (portref CE (instanceref OffsetsDsGen_64__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9961          (portref CE (instanceref OffsetsDsGen_65__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9962          (portref CE (instanceref OffsetsDsGen_65__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9963          (portref CE (instanceref OffsetsDsGen_66__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9964          (portref CE (instanceref OffsetsDsGen_66__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9965          (portref CE (instanceref OffsetsDsGen_67__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9966          (portref CE (instanceref OffsetsDsGen_67__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9967          (portref CE (instanceref OffsetsDsGen_68__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9968          (portref CE (instanceref OffsetsDsGen_68__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9969          (portref CE (instanceref OffsetsDsGen_69__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9970          (portref CE (instanceref OffsetsDsGen_69__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9971          (portref CE (instanceref OffsetsDsGen_6__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9972          (portref CE (instanceref OffsetsDsGen_6__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9973          (portref CE (instanceref OffsetsDsGen_70__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9974          (portref CE (instanceref OffsetsDsGen_70__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9975          (portref CE (instanceref OffsetsDsGen_71__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9976          (portref CE (instanceref OffsetsDsGen_71__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9977          (portref CE (instanceref OffsetsDsGen_72__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9978          (portref CE (instanceref OffsetsDsGen_72__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9979          (portref CE (instanceref OffsetsDsGen_73__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9980          (portref CE (instanceref OffsetsDsGen_73__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9981          (portref CE (instanceref OffsetsDsGen_74__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9982          (portref CE (instanceref OffsetsDsGen_74__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9983          (portref CE (instanceref OffsetsDsGen_75__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9984          (portref CE (instanceref OffsetsDsGen_75__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9985          (portref CE (instanceref OffsetsDsGen_76__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9986          (portref CE (instanceref OffsetsDsGen_76__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9987          (portref CE (instanceref OffsetsDsGen_77__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9988          (portref CE (instanceref OffsetsDsGen_77__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9989          (portref CE (instanceref OffsetsDsGen_78__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9990          (portref CE (instanceref OffsetsDsGen_78__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9991          (portref CE (instanceref OffsetsDsGen_79__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9992          (portref CE (instanceref OffsetsDsGen_79__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9993          (portref CE (instanceref OffsetsDsGen_7__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9994          (portref CE (instanceref OffsetsDsGen_7__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9995          (portref CE (instanceref OffsetsDsGen_8__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9996          (portref CE (instanceref OffsetsDsGen_8__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9997          (portref CE (instanceref OffsetsDsGen_9__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
9998          (portref CE (instanceref OffsetsDsGen_9__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
9999          (portref CE (instanceref OffsetsValidDs_DoubleSyncSlAsyncInx_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10000          (portref CE (instanceref OffsetsValidDs_DoubleSyncSlAsyncInx_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10001          (portref CE (instanceref PpsCapturedDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10002          (portref CE (instanceref PpsCapturedDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10003          (portref CE (instanceref PpsCapturedDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
10004          (portref CE (instanceref PpsCrossEnDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10005          (portref CE (instanceref PpsCrossEnDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10006          (portref CE (instanceref PpsCrossEnDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
10007          (portref CE (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iDlyPush_reg))
10008          (portref CE (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oDataValid_reg))
10009          (portref CE (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx))
10010          (portref CE (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle2_reg))
10011          (portref CE (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx))
10012          (portref CE (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iDlyPush_reg))
10013          (portref CE (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oDataValid_reg))
10014          (portref CE (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx))
10015          (portref CE (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle2_reg))
10016          (portref CE (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx))
10017          (portref CE (instanceref RePulse1CntHs_BlkIn_iDlyPush_reg))
10018          (portref CE (instanceref RePulse1CntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
10019          (portref CE (instanceref RePulse1CntHs_BlkOut_oPushToggle2_reg))
10020          (portref CE (instanceref RePulse1CntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
10021          (portref CE (instanceref RePulse2CntHs_BlkIn_iDlyPush_reg))
10022          (portref CE (instanceref RePulse2CntHs_BlkOut_oDataValid_reg))
10023          (portref CE (instanceref RePulse2CntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
10024          (portref CE (instanceref RePulse2CntHs_BlkOut_oPushToggle2_reg))
10025          (portref CE (instanceref RePulse2CntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
10026          (portref CE (instanceref RePulseCnt1ReadbackDsGen_0__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10027          (portref CE (instanceref RePulseCnt1ReadbackDsGen_0__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10028          (portref CE (instanceref RePulseCnt1ReadbackDsGen_10__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10029          (portref CE (instanceref RePulseCnt1ReadbackDsGen_10__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10030          (portref CE (instanceref RePulseCnt1ReadbackDsGen_11__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10031          (portref CE (instanceref RePulseCnt1ReadbackDsGen_11__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10032          (portref CE (instanceref RePulseCnt1ReadbackDsGen_12__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10033          (portref CE (instanceref RePulseCnt1ReadbackDsGen_12__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10034          (portref CE (instanceref RePulseCnt1ReadbackDsGen_13__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10035          (portref CE (instanceref RePulseCnt1ReadbackDsGen_13__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10036          (portref CE (instanceref RePulseCnt1ReadbackDsGen_14__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10037          (portref CE (instanceref RePulseCnt1ReadbackDsGen_14__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10038          (portref CE (instanceref RePulseCnt1ReadbackDsGen_15__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10039          (portref CE (instanceref RePulseCnt1ReadbackDsGen_15__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10040          (portref CE (instanceref RePulseCnt1ReadbackDsGen_16__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10041          (portref CE (instanceref RePulseCnt1ReadbackDsGen_16__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10042          (portref CE (instanceref RePulseCnt1ReadbackDsGen_17__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10043          (portref CE (instanceref RePulseCnt1ReadbackDsGen_17__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10044          (portref CE (instanceref RePulseCnt1ReadbackDsGen_18__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10045          (portref CE (instanceref RePulseCnt1ReadbackDsGen_18__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10046          (portref CE (instanceref RePulseCnt1ReadbackDsGen_19__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10047          (portref CE (instanceref RePulseCnt1ReadbackDsGen_19__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10048          (portref CE (instanceref RePulseCnt1ReadbackDsGen_1__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10049          (portref CE (instanceref RePulseCnt1ReadbackDsGen_1__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10050          (portref CE (instanceref RePulseCnt1ReadbackDsGen_20__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10051          (portref CE (instanceref RePulseCnt1ReadbackDsGen_20__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10052          (portref CE (instanceref RePulseCnt1ReadbackDsGen_21__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10053          (portref CE (instanceref RePulseCnt1ReadbackDsGen_21__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10054          (portref CE (instanceref RePulseCnt1ReadbackDsGen_22__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10055          (portref CE (instanceref RePulseCnt1ReadbackDsGen_22__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10056          (portref CE (instanceref RePulseCnt1ReadbackDsGen_23__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10057          (portref CE (instanceref RePulseCnt1ReadbackDsGen_23__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10058          (portref CE (instanceref RePulseCnt1ReadbackDsGen_24__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10059          (portref CE (instanceref RePulseCnt1ReadbackDsGen_24__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10060          (portref CE (instanceref RePulseCnt1ReadbackDsGen_25__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10061          (portref CE (instanceref RePulseCnt1ReadbackDsGen_25__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10062          (portref CE (instanceref RePulseCnt1ReadbackDsGen_26__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10063          (portref CE (instanceref RePulseCnt1ReadbackDsGen_26__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10064          (portref CE (instanceref RePulseCnt1ReadbackDsGen_27__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10065          (portref CE (instanceref RePulseCnt1ReadbackDsGen_27__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10066          (portref CE (instanceref RePulseCnt1ReadbackDsGen_28__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10067          (portref CE (instanceref RePulseCnt1ReadbackDsGen_28__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10068          (portref CE (instanceref RePulseCnt1ReadbackDsGen_29__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10069          (portref CE (instanceref RePulseCnt1ReadbackDsGen_29__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10070          (portref CE (instanceref RePulseCnt1ReadbackDsGen_2__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10071          (portref CE (instanceref RePulseCnt1ReadbackDsGen_2__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10072          (portref CE (instanceref RePulseCnt1ReadbackDsGen_30__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10073          (portref CE (instanceref RePulseCnt1ReadbackDsGen_30__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10074          (portref CE (instanceref RePulseCnt1ReadbackDsGen_31__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10075          (portref CE (instanceref RePulseCnt1ReadbackDsGen_31__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10076          (portref CE (instanceref RePulseCnt1ReadbackDsGen_3__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10077          (portref CE (instanceref RePulseCnt1ReadbackDsGen_3__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10078          (portref CE (instanceref RePulseCnt1ReadbackDsGen_4__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10079          (portref CE (instanceref RePulseCnt1ReadbackDsGen_4__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10080          (portref CE (instanceref RePulseCnt1ReadbackDsGen_5__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10081          (portref CE (instanceref RePulseCnt1ReadbackDsGen_5__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10082          (portref CE (instanceref RePulseCnt1ReadbackDsGen_6__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10083          (portref CE (instanceref RePulseCnt1ReadbackDsGen_6__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10084          (portref CE (instanceref RePulseCnt1ReadbackDsGen_7__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10085          (portref CE (instanceref RePulseCnt1ReadbackDsGen_7__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10086          (portref CE (instanceref RePulseCnt1ReadbackDsGen_8__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10087          (portref CE (instanceref RePulseCnt1ReadbackDsGen_8__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10088          (portref CE (instanceref RePulseCnt1ReadbackDsGen_9__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10089          (portref CE (instanceref RePulseCnt1ReadbackDsGen_9__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10090          (portref CE (instanceref RePulseCnt2ReadbackDsGen_0__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10091          (portref CE (instanceref RePulseCnt2ReadbackDsGen_0__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10092          (portref CE (instanceref RePulseCnt2ReadbackDsGen_10__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10093          (portref CE (instanceref RePulseCnt2ReadbackDsGen_10__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10094          (portref CE (instanceref RePulseCnt2ReadbackDsGen_11__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10095          (portref CE (instanceref RePulseCnt2ReadbackDsGen_11__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10096          (portref CE (instanceref RePulseCnt2ReadbackDsGen_12__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10097          (portref CE (instanceref RePulseCnt2ReadbackDsGen_12__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10098          (portref CE (instanceref RePulseCnt2ReadbackDsGen_13__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10099          (portref CE (instanceref RePulseCnt2ReadbackDsGen_13__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10100          (portref CE (instanceref RePulseCnt2ReadbackDsGen_14__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10101          (portref CE (instanceref RePulseCnt2ReadbackDsGen_14__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10102          (portref CE (instanceref RePulseCnt2ReadbackDsGen_15__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10103          (portref CE (instanceref RePulseCnt2ReadbackDsGen_15__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10104          (portref CE (instanceref RePulseCnt2ReadbackDsGen_16__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10105          (portref CE (instanceref RePulseCnt2ReadbackDsGen_16__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10106          (portref CE (instanceref RePulseCnt2ReadbackDsGen_17__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10107          (portref CE (instanceref RePulseCnt2ReadbackDsGen_17__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10108          (portref CE (instanceref RePulseCnt2ReadbackDsGen_18__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10109          (portref CE (instanceref RePulseCnt2ReadbackDsGen_18__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10110          (portref CE (instanceref RePulseCnt2ReadbackDsGen_19__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10111          (portref CE (instanceref RePulseCnt2ReadbackDsGen_19__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10112          (portref CE (instanceref RePulseCnt2ReadbackDsGen_1__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10113          (portref CE (instanceref RePulseCnt2ReadbackDsGen_1__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10114          (portref CE (instanceref RePulseCnt2ReadbackDsGen_20__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10115          (portref CE (instanceref RePulseCnt2ReadbackDsGen_20__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10116          (portref CE (instanceref RePulseCnt2ReadbackDsGen_21__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10117          (portref CE (instanceref RePulseCnt2ReadbackDsGen_21__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10118          (portref CE (instanceref RePulseCnt2ReadbackDsGen_22__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10119          (portref CE (instanceref RePulseCnt2ReadbackDsGen_22__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10120          (portref CE (instanceref RePulseCnt2ReadbackDsGen_23__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10121          (portref CE (instanceref RePulseCnt2ReadbackDsGen_23__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10122          (portref CE (instanceref RePulseCnt2ReadbackDsGen_24__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10123          (portref CE (instanceref RePulseCnt2ReadbackDsGen_24__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10124          (portref CE (instanceref RePulseCnt2ReadbackDsGen_25__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10125          (portref CE (instanceref RePulseCnt2ReadbackDsGen_25__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10126          (portref CE (instanceref RePulseCnt2ReadbackDsGen_26__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10127          (portref CE (instanceref RePulseCnt2ReadbackDsGen_26__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10128          (portref CE (instanceref RePulseCnt2ReadbackDsGen_27__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10129          (portref CE (instanceref RePulseCnt2ReadbackDsGen_27__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10130          (portref CE (instanceref RePulseCnt2ReadbackDsGen_28__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10131          (portref CE (instanceref RePulseCnt2ReadbackDsGen_28__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10132          (portref CE (instanceref RePulseCnt2ReadbackDsGen_29__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10133          (portref CE (instanceref RePulseCnt2ReadbackDsGen_29__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10134          (portref CE (instanceref RePulseCnt2ReadbackDsGen_2__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10135          (portref CE (instanceref RePulseCnt2ReadbackDsGen_2__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10136          (portref CE (instanceref RePulseCnt2ReadbackDsGen_30__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10137          (portref CE (instanceref RePulseCnt2ReadbackDsGen_30__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10138          (portref CE (instanceref RePulseCnt2ReadbackDsGen_31__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10139          (portref CE (instanceref RePulseCnt2ReadbackDsGen_31__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10140          (portref CE (instanceref RePulseCnt2ReadbackDsGen_3__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10141          (portref CE (instanceref RePulseCnt2ReadbackDsGen_3__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10142          (portref CE (instanceref RePulseCnt2ReadbackDsGen_4__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10143          (portref CE (instanceref RePulseCnt2ReadbackDsGen_4__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10144          (portref CE (instanceref RePulseCnt2ReadbackDsGen_5__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10145          (portref CE (instanceref RePulseCnt2ReadbackDsGen_5__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10146          (portref CE (instanceref RePulseCnt2ReadbackDsGen_6__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10147          (portref CE (instanceref RePulseCnt2ReadbackDsGen_6__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10148          (portref CE (instanceref RePulseCnt2ReadbackDsGen_7__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10149          (portref CE (instanceref RePulseCnt2ReadbackDsGen_7__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10150          (portref CE (instanceref RePulseCnt2ReadbackDsGen_8__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10151          (portref CE (instanceref RePulseCnt2ReadbackDsGen_8__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10152          (portref CE (instanceref RePulseCnt2ReadbackDsGen_9__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10153          (portref CE (instanceref RePulseCnt2ReadbackDsGen_9__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10154          (portref CE (instanceref ReRunEnableDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10155          (portref CE (instanceref ReRunEnableDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10156          (portref CE (instanceref ReRunEnableDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
10157          (portref CE (instanceref ResetDoneDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10158          (portref CE (instanceref ResetDoneDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10159          (portref CE (instanceref ResetDoneDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
10160          (portref CE (instanceref ResetTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10161          (portref CE (instanceref ResetTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10162          (portref CE (instanceref ResetTdcDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
10163          (portref CE (instanceref RpCntHs_BlkIn_iDlyPush_reg))
10164          (portref CE (instanceref RpCntHs_BlkOut_oDataValid_reg))
10165          (portref CE (instanceref RpCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
10166          (portref CE (instanceref RpCntHs_BlkOut_oPushToggle2_reg))
10167          (portref CE (instanceref RpCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
10168          (portref CE (instanceref RpCntReadbackDsGen_0__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10169          (portref CE (instanceref RpCntReadbackDsGen_0__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10170          (portref CE (instanceref RpCntReadbackDsGen_10__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10171          (portref CE (instanceref RpCntReadbackDsGen_10__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10172          (portref CE (instanceref RpCntReadbackDsGen_11__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10173          (portref CE (instanceref RpCntReadbackDsGen_11__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10174          (portref CE (instanceref RpCntReadbackDsGen_12__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10175          (portref CE (instanceref RpCntReadbackDsGen_12__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10176          (portref CE (instanceref RpCntReadbackDsGen_13__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10177          (portref CE (instanceref RpCntReadbackDsGen_13__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10178          (portref CE (instanceref RpCntReadbackDsGen_14__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10179          (portref CE (instanceref RpCntReadbackDsGen_14__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10180          (portref CE (instanceref RpCntReadbackDsGen_15__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10181          (portref CE (instanceref RpCntReadbackDsGen_15__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10182          (portref CE (instanceref RpCntReadbackDsGen_16__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10183          (portref CE (instanceref RpCntReadbackDsGen_16__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10184          (portref CE (instanceref RpCntReadbackDsGen_17__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10185          (portref CE (instanceref RpCntReadbackDsGen_17__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10186          (portref CE (instanceref RpCntReadbackDsGen_18__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10187          (portref CE (instanceref RpCntReadbackDsGen_18__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10188          (portref CE (instanceref RpCntReadbackDsGen_19__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10189          (portref CE (instanceref RpCntReadbackDsGen_19__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10190          (portref CE (instanceref RpCntReadbackDsGen_1__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10191          (portref CE (instanceref RpCntReadbackDsGen_1__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10192          (portref CE (instanceref RpCntReadbackDsGen_20__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10193          (portref CE (instanceref RpCntReadbackDsGen_20__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10194          (portref CE (instanceref RpCntReadbackDsGen_21__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10195          (portref CE (instanceref RpCntReadbackDsGen_21__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10196          (portref CE (instanceref RpCntReadbackDsGen_22__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10197          (portref CE (instanceref RpCntReadbackDsGen_22__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10198          (portref CE (instanceref RpCntReadbackDsGen_23__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10199          (portref CE (instanceref RpCntReadbackDsGen_23__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10200          (portref CE (instanceref RpCntReadbackDsGen_24__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10201          (portref CE (instanceref RpCntReadbackDsGen_24__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10202          (portref CE (instanceref RpCntReadbackDsGen_25__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10203          (portref CE (instanceref RpCntReadbackDsGen_25__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10204          (portref CE (instanceref RpCntReadbackDsGen_26__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10205          (portref CE (instanceref RpCntReadbackDsGen_26__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10206          (portref CE (instanceref RpCntReadbackDsGen_27__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10207          (portref CE (instanceref RpCntReadbackDsGen_27__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10208          (portref CE (instanceref RpCntReadbackDsGen_28__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10209          (portref CE (instanceref RpCntReadbackDsGen_28__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10210          (portref CE (instanceref RpCntReadbackDsGen_29__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10211          (portref CE (instanceref RpCntReadbackDsGen_29__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10212          (portref CE (instanceref RpCntReadbackDsGen_2__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10213          (portref CE (instanceref RpCntReadbackDsGen_2__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10214          (portref CE (instanceref RpCntReadbackDsGen_30__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10215          (portref CE (instanceref RpCntReadbackDsGen_30__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10216          (portref CE (instanceref RpCntReadbackDsGen_31__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10217          (portref CE (instanceref RpCntReadbackDsGen_31__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10218          (portref CE (instanceref RpCntReadbackDsGen_3__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10219          (portref CE (instanceref RpCntReadbackDsGen_3__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10220          (portref CE (instanceref RpCntReadbackDsGen_4__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10221          (portref CE (instanceref RpCntReadbackDsGen_4__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10222          (portref CE (instanceref RpCntReadbackDsGen_5__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10223          (portref CE (instanceref RpCntReadbackDsGen_5__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10224          (portref CE (instanceref RpCntReadbackDsGen_6__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10225          (portref CE (instanceref RpCntReadbackDsGen_6__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10226          (portref CE (instanceref RpCntReadbackDsGen_7__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10227          (portref CE (instanceref RpCntReadbackDsGen_7__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10228          (portref CE (instanceref RpCntReadbackDsGen_8__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10229          (portref CE (instanceref RpCntReadbackDsGen_8__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10230          (portref CE (instanceref RpCntReadbackDsGen_9__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10231          (portref CE (instanceref RpCntReadbackDsGen_9__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10232          (portref CE (instanceref RptCntHs_BlkIn_iDlyPush_reg))
10233          (portref CE (instanceref RptCntHs_BlkOut_oDataValid_reg))
10234          (portref CE (instanceref RptCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
10235          (portref CE (instanceref RptCntHs_BlkOut_oPushToggle2_reg))
10236          (portref CE (instanceref RptCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
10237          (portref CE (instanceref RptCntReadbackDsGen_0__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10238          (portref CE (instanceref RptCntReadbackDsGen_0__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10239          (portref CE (instanceref RptCntReadbackDsGen_10__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10240          (portref CE (instanceref RptCntReadbackDsGen_10__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10241          (portref CE (instanceref RptCntReadbackDsGen_11__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10242          (portref CE (instanceref RptCntReadbackDsGen_11__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10243          (portref CE (instanceref RptCntReadbackDsGen_12__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10244          (portref CE (instanceref RptCntReadbackDsGen_12__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10245          (portref CE (instanceref RptCntReadbackDsGen_13__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10246          (portref CE (instanceref RptCntReadbackDsGen_13__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10247          (portref CE (instanceref RptCntReadbackDsGen_14__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10248          (portref CE (instanceref RptCntReadbackDsGen_14__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10249          (portref CE (instanceref RptCntReadbackDsGen_15__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10250          (portref CE (instanceref RptCntReadbackDsGen_15__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10251          (portref CE (instanceref RptCntReadbackDsGen_16__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10252          (portref CE (instanceref RptCntReadbackDsGen_16__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10253          (portref CE (instanceref RptCntReadbackDsGen_17__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10254          (portref CE (instanceref RptCntReadbackDsGen_17__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10255          (portref CE (instanceref RptCntReadbackDsGen_18__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10256          (portref CE (instanceref RptCntReadbackDsGen_18__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10257          (portref CE (instanceref RptCntReadbackDsGen_19__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10258          (portref CE (instanceref RptCntReadbackDsGen_19__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10259          (portref CE (instanceref RptCntReadbackDsGen_1__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10260          (portref CE (instanceref RptCntReadbackDsGen_1__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10261          (portref CE (instanceref RptCntReadbackDsGen_20__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10262          (portref CE (instanceref RptCntReadbackDsGen_20__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10263          (portref CE (instanceref RptCntReadbackDsGen_21__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10264          (portref CE (instanceref RptCntReadbackDsGen_21__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10265          (portref CE (instanceref RptCntReadbackDsGen_22__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10266          (portref CE (instanceref RptCntReadbackDsGen_22__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10267          (portref CE (instanceref RptCntReadbackDsGen_23__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10268          (portref CE (instanceref RptCntReadbackDsGen_23__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10269          (portref CE (instanceref RptCntReadbackDsGen_24__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10270          (portref CE (instanceref RptCntReadbackDsGen_24__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10271          (portref CE (instanceref RptCntReadbackDsGen_25__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10272          (portref CE (instanceref RptCntReadbackDsGen_25__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10273          (portref CE (instanceref RptCntReadbackDsGen_26__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10274          (portref CE (instanceref RptCntReadbackDsGen_26__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10275          (portref CE (instanceref RptCntReadbackDsGen_27__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10276          (portref CE (instanceref RptCntReadbackDsGen_27__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10277          (portref CE (instanceref RptCntReadbackDsGen_28__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10278          (portref CE (instanceref RptCntReadbackDsGen_28__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10279          (portref CE (instanceref RptCntReadbackDsGen_29__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10280          (portref CE (instanceref RptCntReadbackDsGen_29__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10281          (portref CE (instanceref RptCntReadbackDsGen_2__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10282          (portref CE (instanceref RptCntReadbackDsGen_2__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10283          (portref CE (instanceref RptCntReadbackDsGen_30__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10284          (portref CE (instanceref RptCntReadbackDsGen_30__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10285          (portref CE (instanceref RptCntReadbackDsGen_31__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10286          (portref CE (instanceref RptCntReadbackDsGen_31__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10287          (portref CE (instanceref RptCntReadbackDsGen_3__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10288          (portref CE (instanceref RptCntReadbackDsGen_3__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10289          (portref CE (instanceref RptCntReadbackDsGen_4__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10290          (portref CE (instanceref RptCntReadbackDsGen_4__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10291          (portref CE (instanceref RptCntReadbackDsGen_5__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10292          (portref CE (instanceref RptCntReadbackDsGen_5__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10293          (portref CE (instanceref RptCntReadbackDsGen_6__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10294          (portref CE (instanceref RptCntReadbackDsGen_6__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10295          (portref CE (instanceref RptCntReadbackDsGen_7__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10296          (portref CE (instanceref RptCntReadbackDsGen_7__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10297          (portref CE (instanceref RptCntReadbackDsGen_8__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10298          (portref CE (instanceref RptCntReadbackDsGen_8__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10299          (portref CE (instanceref RptCntReadbackDsGen_9__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10300          (portref CE (instanceref RptCntReadbackDsGen_9__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10301          (portref CE (instanceref SpCntHs_BlkIn_iDlyPush_reg))
10302          (portref CE (instanceref SpCntHs_BlkOut_oDataValid_reg))
10303          (portref CE (instanceref SpCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
10304          (portref CE (instanceref SpCntHs_BlkOut_oPushToggle2_reg))
10305          (portref CE (instanceref SpCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
10306          (portref CE (instanceref SpCntReadbackDsGen_0__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10307          (portref CE (instanceref SpCntReadbackDsGen_0__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10308          (portref CE (instanceref SpCntReadbackDsGen_10__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10309          (portref CE (instanceref SpCntReadbackDsGen_10__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10310          (portref CE (instanceref SpCntReadbackDsGen_11__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10311          (portref CE (instanceref SpCntReadbackDsGen_11__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10312          (portref CE (instanceref SpCntReadbackDsGen_12__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10313          (portref CE (instanceref SpCntReadbackDsGen_12__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10314          (portref CE (instanceref SpCntReadbackDsGen_13__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10315          (portref CE (instanceref SpCntReadbackDsGen_13__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10316          (portref CE (instanceref SpCntReadbackDsGen_14__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10317          (portref CE (instanceref SpCntReadbackDsGen_14__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10318          (portref CE (instanceref SpCntReadbackDsGen_15__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10319          (portref CE (instanceref SpCntReadbackDsGen_15__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10320          (portref CE (instanceref SpCntReadbackDsGen_16__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10321          (portref CE (instanceref SpCntReadbackDsGen_16__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10322          (portref CE (instanceref SpCntReadbackDsGen_17__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10323          (portref CE (instanceref SpCntReadbackDsGen_17__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10324          (portref CE (instanceref SpCntReadbackDsGen_18__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10325          (portref CE (instanceref SpCntReadbackDsGen_18__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10326          (portref CE (instanceref SpCntReadbackDsGen_19__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10327          (portref CE (instanceref SpCntReadbackDsGen_19__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10328          (portref CE (instanceref SpCntReadbackDsGen_1__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10329          (portref CE (instanceref SpCntReadbackDsGen_1__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10330          (portref CE (instanceref SpCntReadbackDsGen_20__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10331          (portref CE (instanceref SpCntReadbackDsGen_20__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10332          (portref CE (instanceref SpCntReadbackDsGen_21__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10333          (portref CE (instanceref SpCntReadbackDsGen_21__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10334          (portref CE (instanceref SpCntReadbackDsGen_22__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10335          (portref CE (instanceref SpCntReadbackDsGen_22__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10336          (portref CE (instanceref SpCntReadbackDsGen_23__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10337          (portref CE (instanceref SpCntReadbackDsGen_23__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10338          (portref CE (instanceref SpCntReadbackDsGen_24__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10339          (portref CE (instanceref SpCntReadbackDsGen_24__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10340          (portref CE (instanceref SpCntReadbackDsGen_25__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10341          (portref CE (instanceref SpCntReadbackDsGen_25__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10342          (portref CE (instanceref SpCntReadbackDsGen_26__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10343          (portref CE (instanceref SpCntReadbackDsGen_26__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10344          (portref CE (instanceref SpCntReadbackDsGen_27__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10345          (portref CE (instanceref SpCntReadbackDsGen_27__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10346          (portref CE (instanceref SpCntReadbackDsGen_28__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10347          (portref CE (instanceref SpCntReadbackDsGen_28__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10348          (portref CE (instanceref SpCntReadbackDsGen_29__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10349          (portref CE (instanceref SpCntReadbackDsGen_29__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10350          (portref CE (instanceref SpCntReadbackDsGen_2__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10351          (portref CE (instanceref SpCntReadbackDsGen_2__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10352          (portref CE (instanceref SpCntReadbackDsGen_30__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10353          (portref CE (instanceref SpCntReadbackDsGen_30__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10354          (portref CE (instanceref SpCntReadbackDsGen_31__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10355          (portref CE (instanceref SpCntReadbackDsGen_31__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10356          (portref CE (instanceref SpCntReadbackDsGen_3__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10357          (portref CE (instanceref SpCntReadbackDsGen_3__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10358          (portref CE (instanceref SpCntReadbackDsGen_4__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10359          (portref CE (instanceref SpCntReadbackDsGen_4__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10360          (portref CE (instanceref SpCntReadbackDsGen_5__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10361          (portref CE (instanceref SpCntReadbackDsGen_5__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10362          (portref CE (instanceref SpCntReadbackDsGen_6__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10363          (portref CE (instanceref SpCntReadbackDsGen_6__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10364          (portref CE (instanceref SpCntReadbackDsGen_7__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10365          (portref CE (instanceref SpCntReadbackDsGen_7__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10366          (portref CE (instanceref SpCntReadbackDsGen_8__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10367          (portref CE (instanceref SpCntReadbackDsGen_8__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10368          (portref CE (instanceref SpCntReadbackDsGen_9__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10369          (portref CE (instanceref SpCntReadbackDsGen_9__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10370          (portref CE (instanceref SptCntHs_BlkIn_iDlyPush_reg))
10371          (portref CE (instanceref SptCntHs_BlkOut_oDataValid_reg))
10372          (portref CE (instanceref SptCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
10373          (portref CE (instanceref SptCntHs_BlkOut_oPushToggle2_reg))
10374          (portref CE (instanceref SptCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
10375          (portref CE (instanceref SptCntReadbackDsGen_0__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10376          (portref CE (instanceref SptCntReadbackDsGen_0__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10377          (portref CE (instanceref SptCntReadbackDsGen_10__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10378          (portref CE (instanceref SptCntReadbackDsGen_10__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10379          (portref CE (instanceref SptCntReadbackDsGen_11__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10380          (portref CE (instanceref SptCntReadbackDsGen_11__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10381          (portref CE (instanceref SptCntReadbackDsGen_12__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10382          (portref CE (instanceref SptCntReadbackDsGen_12__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10383          (portref CE (instanceref SptCntReadbackDsGen_13__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10384          (portref CE (instanceref SptCntReadbackDsGen_13__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10385          (portref CE (instanceref SptCntReadbackDsGen_14__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10386          (portref CE (instanceref SptCntReadbackDsGen_14__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10387          (portref CE (instanceref SptCntReadbackDsGen_15__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10388          (portref CE (instanceref SptCntReadbackDsGen_15__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10389          (portref CE (instanceref SptCntReadbackDsGen_16__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10390          (portref CE (instanceref SptCntReadbackDsGen_16__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10391          (portref CE (instanceref SptCntReadbackDsGen_17__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10392          (portref CE (instanceref SptCntReadbackDsGen_17__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10393          (portref CE (instanceref SptCntReadbackDsGen_18__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10394          (portref CE (instanceref SptCntReadbackDsGen_18__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10395          (portref CE (instanceref SptCntReadbackDsGen_19__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10396          (portref CE (instanceref SptCntReadbackDsGen_19__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10397          (portref CE (instanceref SptCntReadbackDsGen_1__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10398          (portref CE (instanceref SptCntReadbackDsGen_1__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10399          (portref CE (instanceref SptCntReadbackDsGen_20__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10400          (portref CE (instanceref SptCntReadbackDsGen_20__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10401          (portref CE (instanceref SptCntReadbackDsGen_21__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10402          (portref CE (instanceref SptCntReadbackDsGen_21__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10403          (portref CE (instanceref SptCntReadbackDsGen_22__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10404          (portref CE (instanceref SptCntReadbackDsGen_22__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10405          (portref CE (instanceref SptCntReadbackDsGen_23__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10406          (portref CE (instanceref SptCntReadbackDsGen_23__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10407          (portref CE (instanceref SptCntReadbackDsGen_24__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10408          (portref CE (instanceref SptCntReadbackDsGen_24__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10409          (portref CE (instanceref SptCntReadbackDsGen_25__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10410          (portref CE (instanceref SptCntReadbackDsGen_25__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10411          (portref CE (instanceref SptCntReadbackDsGen_26__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10412          (portref CE (instanceref SptCntReadbackDsGen_26__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10413          (portref CE (instanceref SptCntReadbackDsGen_27__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10414          (portref CE (instanceref SptCntReadbackDsGen_27__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10415          (portref CE (instanceref SptCntReadbackDsGen_28__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10416          (portref CE (instanceref SptCntReadbackDsGen_28__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10417          (portref CE (instanceref SptCntReadbackDsGen_29__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10418          (portref CE (instanceref SptCntReadbackDsGen_29__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10419          (portref CE (instanceref SptCntReadbackDsGen_2__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10420          (portref CE (instanceref SptCntReadbackDsGen_2__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10421          (portref CE (instanceref SptCntReadbackDsGen_30__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10422          (portref CE (instanceref SptCntReadbackDsGen_30__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10423          (portref CE (instanceref SptCntReadbackDsGen_31__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10424          (portref CE (instanceref SptCntReadbackDsGen_31__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10425          (portref CE (instanceref SptCntReadbackDsGen_3__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10426          (portref CE (instanceref SptCntReadbackDsGen_3__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10427          (portref CE (instanceref SptCntReadbackDsGen_4__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10428          (portref CE (instanceref SptCntReadbackDsGen_4__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10429          (portref CE (instanceref SptCntReadbackDsGen_5__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10430          (portref CE (instanceref SptCntReadbackDsGen_5__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10431          (portref CE (instanceref SptCntReadbackDsGen_6__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10432          (portref CE (instanceref SptCntReadbackDsGen_6__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10433          (portref CE (instanceref SptCntReadbackDsGen_7__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10434          (portref CE (instanceref SptCntReadbackDsGen_7__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10435          (portref CE (instanceref SptCntReadbackDsGen_8__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10436          (portref CE (instanceref SptCntReadbackDsGen_8__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10437          (portref CE (instanceref SptCntReadbackDsGen_9__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10438          (portref CE (instanceref SptCntReadbackDsGen_9__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10439          (portref CE (instanceref aTdcResetLcl_reg))
10440          (portref CE (instanceref aTdcResetLcl_reg_rep))
10441          (portref CE (instanceref aTdcResetLcl_reg_rep__0))
10442          (portref CE (instanceref aTdcResetLcl_reg_rep__1))
10443          (portref CE (instanceref aTdcResetLcl_reg_rep__2))
10444          (portref CE (instanceref aTdcResetLcl_reg_rep__3))
10445          (portref CE (instanceref bClearTdcRegs_reg))
10446          (portref CE (instanceref bEnableTdc_reg))
10447          (portref CE (instanceref bOffsetUpdated_reg))
10448          (portref CE (instanceref bPpsClkCrossEn_reg))
10449          (portref CE (instanceref bPushPpsDelayVal_reg))
10450          (portref CE (instanceref bPushPulserEnableDelayVal_reg))
10451          (portref CE (instanceref bReRunEnable_reg))
10452          (portref CE (instanceref bRegPortOutLcl_reg_Data__0_))
10453          (portref CE (instanceref bRegPortOutLcl_reg_Data__10_))
10454          (portref CE (instanceref bRegPortOutLcl_reg_Data__11_))
10455          (portref CE (instanceref bRegPortOutLcl_reg_Data__12_))
10456          (portref CE (instanceref bRegPortOutLcl_reg_Data__13_))
10457          (portref CE (instanceref bRegPortOutLcl_reg_Data__14_))
10458          (portref CE (instanceref bRegPortOutLcl_reg_Data__15_))
10459          (portref CE (instanceref bRegPortOutLcl_reg_Data__16_))
10460          (portref CE (instanceref bRegPortOutLcl_reg_Data__17_))
10461          (portref CE (instanceref bRegPortOutLcl_reg_Data__18_))
10462          (portref CE (instanceref bRegPortOutLcl_reg_Data__19_))
10463          (portref CE (instanceref bRegPortOutLcl_reg_Data__1_))
10464          (portref CE (instanceref bRegPortOutLcl_reg_Data__20_))
10465          (portref CE (instanceref bRegPortOutLcl_reg_Data__21_))
10466          (portref CE (instanceref bRegPortOutLcl_reg_Data__22_))
10467          (portref CE (instanceref bRegPortOutLcl_reg_Data__23_))
10468          (portref CE (instanceref bRegPortOutLcl_reg_Data__24_))
10469          (portref CE (instanceref bRegPortOutLcl_reg_Data__25_))
10470          (portref CE (instanceref bRegPortOutLcl_reg_Data__26_))
10471          (portref CE (instanceref bRegPortOutLcl_reg_Data__27_))
10472          (portref CE (instanceref bRegPortOutLcl_reg_Data__28_))
10473          (portref CE (instanceref bRegPortOutLcl_reg_Data__29_))
10474          (portref CE (instanceref bRegPortOutLcl_reg_Data__2_))
10475          (portref CE (instanceref bRegPortOutLcl_reg_Data__30_))
10476          (portref CE (instanceref bRegPortOutLcl_reg_Data__31_))
10477          (portref CE (instanceref bRegPortOutLcl_reg_Data__3_))
10478          (portref CE (instanceref bRegPortOutLcl_reg_Data__4_))
10479          (portref CE (instanceref bRegPortOutLcl_reg_Data__5_))
10480          (portref CE (instanceref bRegPortOutLcl_reg_Data__6_))
10481          (portref CE (instanceref bRegPortOutLcl_reg_Data__7_))
10482          (portref CE (instanceref bRegPortOutLcl_reg_Data__8_))
10483          (portref CE (instanceref bRegPortOutLcl_reg_Data__9_))
10484          (portref CE (instanceref bResetTdc_reg))
10485          (portref P (instanceref VCC))
10486          (portref (member bRegPortOutFlat 33))
10487          )
10488         )
10489         (net BusClk (joined
10490          (portref C (instanceref EnableTdcDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
10491          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
10492          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
10493          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
10494          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
10495          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
10496          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
10497          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
10498          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
10499          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
10500          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
10501          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
10502          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
10503          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
10504          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
10505          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
10506          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
10507          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
10508          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
10509          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
10510          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
10511          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
10512          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
10513          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
10514          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
10515          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_31__DFlopx_Gen0_FDCEx))
10516          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_32__DFlopx_Gen0_FDCEx))
10517          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_33__DFlopx_Gen0_FDCEx))
10518          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_34__DFlopx_Gen0_FDCEx))
10519          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_35__DFlopx_Gen0_FDCEx))
10520          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_36__DFlopx_Gen0_FDCEx))
10521          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_37__DFlopx_Gen0_FDCEx))
10522          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_38__DFlopx_Gen0_FDCEx))
10523          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_39__DFlopx_Gen0_FDCEx))
10524          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
10525          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_40__DFlopx_Gen0_FDCEx))
10526          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_41__DFlopx_Gen0_FDCEx))
10527          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_42__DFlopx_Gen0_FDCEx))
10528          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_43__DFlopx_Gen0_FDCEx))
10529          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_44__DFlopx_Gen0_FDCEx))
10530          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_45__DFlopx_Gen0_FDCEx))
10531          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_46__DFlopx_Gen0_FDCEx))
10532          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_47__DFlopx_Gen0_FDCEx))
10533          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_48__DFlopx_Gen0_FDCEx))
10534          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_49__DFlopx_Gen0_FDCEx))
10535          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
10536          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_50__DFlopx_Gen0_FDCEx))
10537          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_51__DFlopx_Gen0_FDCEx))
10538          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_52__DFlopx_Gen0_FDCEx))
10539          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_53__DFlopx_Gen0_FDCEx))
10540          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_54__DFlopx_Gen0_FDCEx))
10541          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_55__DFlopx_Gen0_FDCEx))
10542          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_56__DFlopx_Gen0_FDCEx))
10543          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_57__DFlopx_Gen0_FDCEx))
10544          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_58__DFlopx_Gen0_FDCEx))
10545          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_59__DFlopx_Gen0_FDCEx))
10546          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
10547          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_60__DFlopx_Gen0_FDCEx))
10548          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_61__DFlopx_Gen0_FDCEx))
10549          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_62__DFlopx_Gen0_FDCEx))
10550          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_63__DFlopx_Gen0_FDCEx))
10551          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_64__DFlopx_Gen0_FDCEx))
10552          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_65__DFlopx_Gen0_FDCEx))
10553          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_66__DFlopx_Gen0_FDCEx))
10554          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_67__DFlopx_Gen0_FDCEx))
10555          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_68__DFlopx_Gen0_FDCEx))
10556          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_69__DFlopx_Gen0_FDCEx))
10557          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
10558          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_70__DFlopx_Gen0_FDCEx))
10559          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_71__DFlopx_Gen0_FDCEx))
10560          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_72__DFlopx_Gen0_FDCEx))
10561          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_73__DFlopx_Gen0_FDCEx))
10562          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_74__DFlopx_Gen0_FDCEx))
10563          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_75__DFlopx_Gen0_FDCEx))
10564          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_76__DFlopx_Gen0_FDCEx))
10565          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_77__DFlopx_Gen0_FDCEx))
10566          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_78__DFlopx_Gen0_FDCEx))
10567          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_79__DFlopx_Gen0_FDCEx))
10568          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
10569          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
10570          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
10571          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_oDataValid_reg))
10572          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx))
10573          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_oPushToggle2_reg))
10574          (portref C (instanceref IncomingOffsetHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx))
10575          (portref C (instanceref OffsetsDsGen_0__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10576          (portref C (instanceref OffsetsDsGen_0__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10577          (portref C (instanceref OffsetsDsGen_10__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10578          (portref C (instanceref OffsetsDsGen_10__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10579          (portref C (instanceref OffsetsDsGen_11__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10580          (portref C (instanceref OffsetsDsGen_11__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10581          (portref C (instanceref OffsetsDsGen_12__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10582          (portref C (instanceref OffsetsDsGen_12__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10583          (portref C (instanceref OffsetsDsGen_13__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10584          (portref C (instanceref OffsetsDsGen_13__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10585          (portref C (instanceref OffsetsDsGen_14__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10586          (portref C (instanceref OffsetsDsGen_14__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10587          (portref C (instanceref OffsetsDsGen_15__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10588          (portref C (instanceref OffsetsDsGen_15__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10589          (portref C (instanceref OffsetsDsGen_16__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10590          (portref C (instanceref OffsetsDsGen_16__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10591          (portref C (instanceref OffsetsDsGen_17__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10592          (portref C (instanceref OffsetsDsGen_17__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10593          (portref C (instanceref OffsetsDsGen_18__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10594          (portref C (instanceref OffsetsDsGen_18__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10595          (portref C (instanceref OffsetsDsGen_19__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10596          (portref C (instanceref OffsetsDsGen_19__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10597          (portref C (instanceref OffsetsDsGen_1__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10598          (portref C (instanceref OffsetsDsGen_1__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10599          (portref C (instanceref OffsetsDsGen_20__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10600          (portref C (instanceref OffsetsDsGen_20__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10601          (portref C (instanceref OffsetsDsGen_21__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10602          (portref C (instanceref OffsetsDsGen_21__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10603          (portref C (instanceref OffsetsDsGen_22__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10604          (portref C (instanceref OffsetsDsGen_22__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10605          (portref C (instanceref OffsetsDsGen_23__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10606          (portref C (instanceref OffsetsDsGen_23__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10607          (portref C (instanceref OffsetsDsGen_24__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10608          (portref C (instanceref OffsetsDsGen_24__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10609          (portref C (instanceref OffsetsDsGen_25__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10610          (portref C (instanceref OffsetsDsGen_25__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10611          (portref C (instanceref OffsetsDsGen_26__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10612          (portref C (instanceref OffsetsDsGen_26__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10613          (portref C (instanceref OffsetsDsGen_27__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10614          (portref C (instanceref OffsetsDsGen_27__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10615          (portref C (instanceref OffsetsDsGen_28__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10616          (portref C (instanceref OffsetsDsGen_28__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10617          (portref C (instanceref OffsetsDsGen_29__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10618          (portref C (instanceref OffsetsDsGen_29__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10619          (portref C (instanceref OffsetsDsGen_2__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10620          (portref C (instanceref OffsetsDsGen_2__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10621          (portref C (instanceref OffsetsDsGen_30__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10622          (portref C (instanceref OffsetsDsGen_30__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10623          (portref C (instanceref OffsetsDsGen_31__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10624          (portref C (instanceref OffsetsDsGen_31__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10625          (portref C (instanceref OffsetsDsGen_32__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10626          (portref C (instanceref OffsetsDsGen_32__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10627          (portref C (instanceref OffsetsDsGen_33__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10628          (portref C (instanceref OffsetsDsGen_33__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10629          (portref C (instanceref OffsetsDsGen_34__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10630          (portref C (instanceref OffsetsDsGen_34__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10631          (portref C (instanceref OffsetsDsGen_35__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10632          (portref C (instanceref OffsetsDsGen_35__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10633          (portref C (instanceref OffsetsDsGen_36__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10634          (portref C (instanceref OffsetsDsGen_36__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10635          (portref C (instanceref OffsetsDsGen_37__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10636          (portref C (instanceref OffsetsDsGen_37__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10637          (portref C (instanceref OffsetsDsGen_38__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10638          (portref C (instanceref OffsetsDsGen_38__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10639          (portref C (instanceref OffsetsDsGen_39__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10640          (portref C (instanceref OffsetsDsGen_39__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10641          (portref C (instanceref OffsetsDsGen_3__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10642          (portref C (instanceref OffsetsDsGen_3__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10643          (portref C (instanceref OffsetsDsGen_40__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10644          (portref C (instanceref OffsetsDsGen_40__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10645          (portref C (instanceref OffsetsDsGen_41__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10646          (portref C (instanceref OffsetsDsGen_41__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10647          (portref C (instanceref OffsetsDsGen_42__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10648          (portref C (instanceref OffsetsDsGen_42__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10649          (portref C (instanceref OffsetsDsGen_43__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10650          (portref C (instanceref OffsetsDsGen_43__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10651          (portref C (instanceref OffsetsDsGen_44__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10652          (portref C (instanceref OffsetsDsGen_44__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10653          (portref C (instanceref OffsetsDsGen_45__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10654          (portref C (instanceref OffsetsDsGen_45__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10655          (portref C (instanceref OffsetsDsGen_46__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10656          (portref C (instanceref OffsetsDsGen_46__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10657          (portref C (instanceref OffsetsDsGen_47__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10658          (portref C (instanceref OffsetsDsGen_47__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10659          (portref C (instanceref OffsetsDsGen_48__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10660          (portref C (instanceref OffsetsDsGen_48__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10661          (portref C (instanceref OffsetsDsGen_49__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10662          (portref C (instanceref OffsetsDsGen_49__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10663          (portref C (instanceref OffsetsDsGen_4__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10664          (portref C (instanceref OffsetsDsGen_4__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10665          (portref C (instanceref OffsetsDsGen_50__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10666          (portref C (instanceref OffsetsDsGen_50__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10667          (portref C (instanceref OffsetsDsGen_51__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10668          (portref C (instanceref OffsetsDsGen_51__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10669          (portref C (instanceref OffsetsDsGen_52__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10670          (portref C (instanceref OffsetsDsGen_52__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10671          (portref C (instanceref OffsetsDsGen_53__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10672          (portref C (instanceref OffsetsDsGen_53__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10673          (portref C (instanceref OffsetsDsGen_54__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10674          (portref C (instanceref OffsetsDsGen_54__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10675          (portref C (instanceref OffsetsDsGen_55__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10676          (portref C (instanceref OffsetsDsGen_55__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10677          (portref C (instanceref OffsetsDsGen_56__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10678          (portref C (instanceref OffsetsDsGen_56__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10679          (portref C (instanceref OffsetsDsGen_57__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10680          (portref C (instanceref OffsetsDsGen_57__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10681          (portref C (instanceref OffsetsDsGen_58__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10682          (portref C (instanceref OffsetsDsGen_58__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10683          (portref C (instanceref OffsetsDsGen_59__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10684          (portref C (instanceref OffsetsDsGen_59__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10685          (portref C (instanceref OffsetsDsGen_5__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10686          (portref C (instanceref OffsetsDsGen_5__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10687          (portref C (instanceref OffsetsDsGen_60__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10688          (portref C (instanceref OffsetsDsGen_60__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10689          (portref C (instanceref OffsetsDsGen_61__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10690          (portref C (instanceref OffsetsDsGen_61__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10691          (portref C (instanceref OffsetsDsGen_62__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10692          (portref C (instanceref OffsetsDsGen_62__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10693          (portref C (instanceref OffsetsDsGen_63__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10694          (portref C (instanceref OffsetsDsGen_63__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10695          (portref C (instanceref OffsetsDsGen_64__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10696          (portref C (instanceref OffsetsDsGen_64__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10697          (portref C (instanceref OffsetsDsGen_65__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10698          (portref C (instanceref OffsetsDsGen_65__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10699          (portref C (instanceref OffsetsDsGen_66__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10700          (portref C (instanceref OffsetsDsGen_66__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10701          (portref C (instanceref OffsetsDsGen_67__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10702          (portref C (instanceref OffsetsDsGen_67__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10703          (portref C (instanceref OffsetsDsGen_68__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10704          (portref C (instanceref OffsetsDsGen_68__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10705          (portref C (instanceref OffsetsDsGen_69__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10706          (portref C (instanceref OffsetsDsGen_69__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10707          (portref C (instanceref OffsetsDsGen_6__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10708          (portref C (instanceref OffsetsDsGen_6__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10709          (portref C (instanceref OffsetsDsGen_70__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10710          (portref C (instanceref OffsetsDsGen_70__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10711          (portref C (instanceref OffsetsDsGen_71__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10712          (portref C (instanceref OffsetsDsGen_71__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10713          (portref C (instanceref OffsetsDsGen_72__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10714          (portref C (instanceref OffsetsDsGen_72__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10715          (portref C (instanceref OffsetsDsGen_73__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10716          (portref C (instanceref OffsetsDsGen_73__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10717          (portref C (instanceref OffsetsDsGen_74__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10718          (portref C (instanceref OffsetsDsGen_74__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10719          (portref C (instanceref OffsetsDsGen_75__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10720          (portref C (instanceref OffsetsDsGen_75__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10721          (portref C (instanceref OffsetsDsGen_76__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10722          (portref C (instanceref OffsetsDsGen_76__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10723          (portref C (instanceref OffsetsDsGen_77__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10724          (portref C (instanceref OffsetsDsGen_77__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10725          (portref C (instanceref OffsetsDsGen_78__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10726          (portref C (instanceref OffsetsDsGen_78__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10727          (portref C (instanceref OffsetsDsGen_79__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10728          (portref C (instanceref OffsetsDsGen_79__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10729          (portref C (instanceref OffsetsDsGen_7__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10730          (portref C (instanceref OffsetsDsGen_7__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10731          (portref C (instanceref OffsetsDsGen_8__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10732          (portref C (instanceref OffsetsDsGen_8__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10733          (portref C (instanceref OffsetsDsGen_9__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10734          (portref C (instanceref OffsetsDsGen_9__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10735          (portref C (instanceref OffsetsValidDs_DoubleSyncSlAsyncInx_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10736          (portref C (instanceref OffsetsValidDs_DoubleSyncSlAsyncInx_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10737          (portref C (instanceref PpsCapturedDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10738          (portref C (instanceref PpsCapturedDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10739          (portref C (instanceref PpsCrossEnDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
10740          (portref C (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iDlyPush_reg))
10741          (portref C (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_0_))
10742          (portref C (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_1_))
10743          (portref C (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_2_))
10744          (portref C (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_3_))
10745          (portref C (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx))
10746          (portref C (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iDlyPush_reg))
10747          (portref C (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_0_))
10748          (portref C (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_1_))
10749          (portref C (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_2_))
10750          (portref C (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_3_))
10751          (portref C (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx))
10752          (portref C (instanceref RePulse1CntHs_BlkIn_iDlyPush_reg))
10753          (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_0_))
10754          (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_10_))
10755          (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_11_))
10756          (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_12_))
10757          (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_13_))
10758          (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_14_))
10759          (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_15_))
10760          (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_16_))
10761          (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_17_))
10762          (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_18_))
10763          (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_19_))
10764          (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_1_))
10765          (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_20_))
10766          (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_21_))
10767          (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_22_))
10768          (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_23_))
10769          (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_24_))
10770          (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_25_))
10771          (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_26_))
10772          (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_27_))
10773          (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_28_))
10774          (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_29_))
10775          (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_2_))
10776          (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_30_))
10777          (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_31_))
10778          (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_3_))
10779          (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_4_))
10780          (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_5_))
10781          (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_6_))
10782          (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_7_))
10783          (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_8_))
10784          (portref C (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_9_))
10785          (portref C (instanceref RePulse1CntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
10786          (portref C (instanceref RePulse2CntHs_BlkIn_iDlyPush_reg))
10787          (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_0_))
10788          (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_10_))
10789          (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_11_))
10790          (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_12_))
10791          (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_13_))
10792          (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_14_))
10793          (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_15_))
10794          (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_16_))
10795          (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_17_))
10796          (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_18_))
10797          (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_19_))
10798          (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_1_))
10799          (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_20_))
10800          (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_21_))
10801          (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_22_))
10802          (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_23_))
10803          (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_24_))
10804          (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_25_))
10805          (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_26_))
10806          (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_27_))
10807          (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_28_))
10808          (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_29_))
10809          (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_2_))
10810          (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_30_))
10811          (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_31_))
10812          (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_3_))
10813          (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_4_))
10814          (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_5_))
10815          (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_6_))
10816          (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_7_))
10817          (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_8_))
10818          (portref C (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_9_))
10819          (portref C (instanceref RePulse2CntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
10820          (portref C (instanceref RePulseCnt1ReadbackDsGen_0__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10821          (portref C (instanceref RePulseCnt1ReadbackDsGen_0__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10822          (portref C (instanceref RePulseCnt1ReadbackDsGen_10__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10823          (portref C (instanceref RePulseCnt1ReadbackDsGen_10__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10824          (portref C (instanceref RePulseCnt1ReadbackDsGen_11__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10825          (portref C (instanceref RePulseCnt1ReadbackDsGen_11__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10826          (portref C (instanceref RePulseCnt1ReadbackDsGen_12__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10827          (portref C (instanceref RePulseCnt1ReadbackDsGen_12__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10828          (portref C (instanceref RePulseCnt1ReadbackDsGen_13__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10829          (portref C (instanceref RePulseCnt1ReadbackDsGen_13__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10830          (portref C (instanceref RePulseCnt1ReadbackDsGen_14__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10831          (portref C (instanceref RePulseCnt1ReadbackDsGen_14__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10832          (portref C (instanceref RePulseCnt1ReadbackDsGen_15__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10833          (portref C (instanceref RePulseCnt1ReadbackDsGen_15__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10834          (portref C (instanceref RePulseCnt1ReadbackDsGen_16__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10835          (portref C (instanceref RePulseCnt1ReadbackDsGen_16__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10836          (portref C (instanceref RePulseCnt1ReadbackDsGen_17__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10837          (portref C (instanceref RePulseCnt1ReadbackDsGen_17__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10838          (portref C (instanceref RePulseCnt1ReadbackDsGen_18__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10839          (portref C (instanceref RePulseCnt1ReadbackDsGen_18__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10840          (portref C (instanceref RePulseCnt1ReadbackDsGen_19__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10841          (portref C (instanceref RePulseCnt1ReadbackDsGen_19__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10842          (portref C (instanceref RePulseCnt1ReadbackDsGen_1__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10843          (portref C (instanceref RePulseCnt1ReadbackDsGen_1__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10844          (portref C (instanceref RePulseCnt1ReadbackDsGen_20__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10845          (portref C (instanceref RePulseCnt1ReadbackDsGen_20__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10846          (portref C (instanceref RePulseCnt1ReadbackDsGen_21__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10847          (portref C (instanceref RePulseCnt1ReadbackDsGen_21__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10848          (portref C (instanceref RePulseCnt1ReadbackDsGen_22__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10849          (portref C (instanceref RePulseCnt1ReadbackDsGen_22__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10850          (portref C (instanceref RePulseCnt1ReadbackDsGen_23__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10851          (portref C (instanceref RePulseCnt1ReadbackDsGen_23__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10852          (portref C (instanceref RePulseCnt1ReadbackDsGen_24__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10853          (portref C (instanceref RePulseCnt1ReadbackDsGen_24__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10854          (portref C (instanceref RePulseCnt1ReadbackDsGen_25__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10855          (portref C (instanceref RePulseCnt1ReadbackDsGen_25__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10856          (portref C (instanceref RePulseCnt1ReadbackDsGen_26__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10857          (portref C (instanceref RePulseCnt1ReadbackDsGen_26__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10858          (portref C (instanceref RePulseCnt1ReadbackDsGen_27__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10859          (portref C (instanceref RePulseCnt1ReadbackDsGen_27__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10860          (portref C (instanceref RePulseCnt1ReadbackDsGen_28__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10861          (portref C (instanceref RePulseCnt1ReadbackDsGen_28__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10862          (portref C (instanceref RePulseCnt1ReadbackDsGen_29__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10863          (portref C (instanceref RePulseCnt1ReadbackDsGen_29__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10864          (portref C (instanceref RePulseCnt1ReadbackDsGen_2__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10865          (portref C (instanceref RePulseCnt1ReadbackDsGen_2__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10866          (portref C (instanceref RePulseCnt1ReadbackDsGen_30__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10867          (portref C (instanceref RePulseCnt1ReadbackDsGen_30__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10868          (portref C (instanceref RePulseCnt1ReadbackDsGen_31__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10869          (portref C (instanceref RePulseCnt1ReadbackDsGen_31__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10870          (portref C (instanceref RePulseCnt1ReadbackDsGen_3__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10871          (portref C (instanceref RePulseCnt1ReadbackDsGen_3__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10872          (portref C (instanceref RePulseCnt1ReadbackDsGen_4__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10873          (portref C (instanceref RePulseCnt1ReadbackDsGen_4__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10874          (portref C (instanceref RePulseCnt1ReadbackDsGen_5__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10875          (portref C (instanceref RePulseCnt1ReadbackDsGen_5__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10876          (portref C (instanceref RePulseCnt1ReadbackDsGen_6__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10877          (portref C (instanceref RePulseCnt1ReadbackDsGen_6__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10878          (portref C (instanceref RePulseCnt1ReadbackDsGen_7__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10879          (portref C (instanceref RePulseCnt1ReadbackDsGen_7__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10880          (portref C (instanceref RePulseCnt1ReadbackDsGen_8__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10881          (portref C (instanceref RePulseCnt1ReadbackDsGen_8__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10882          (portref C (instanceref RePulseCnt1ReadbackDsGen_9__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10883          (portref C (instanceref RePulseCnt1ReadbackDsGen_9__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10884          (portref C (instanceref RePulseCnt2ReadbackDsGen_0__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10885          (portref C (instanceref RePulseCnt2ReadbackDsGen_0__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10886          (portref C (instanceref RePulseCnt2ReadbackDsGen_10__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10887          (portref C (instanceref RePulseCnt2ReadbackDsGen_10__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10888          (portref C (instanceref RePulseCnt2ReadbackDsGen_11__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10889          (portref C (instanceref RePulseCnt2ReadbackDsGen_11__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10890          (portref C (instanceref RePulseCnt2ReadbackDsGen_12__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10891          (portref C (instanceref RePulseCnt2ReadbackDsGen_12__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10892          (portref C (instanceref RePulseCnt2ReadbackDsGen_13__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10893          (portref C (instanceref RePulseCnt2ReadbackDsGen_13__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10894          (portref C (instanceref RePulseCnt2ReadbackDsGen_14__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10895          (portref C (instanceref RePulseCnt2ReadbackDsGen_14__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10896          (portref C (instanceref RePulseCnt2ReadbackDsGen_15__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10897          (portref C (instanceref RePulseCnt2ReadbackDsGen_15__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10898          (portref C (instanceref RePulseCnt2ReadbackDsGen_16__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10899          (portref C (instanceref RePulseCnt2ReadbackDsGen_16__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10900          (portref C (instanceref RePulseCnt2ReadbackDsGen_17__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10901          (portref C (instanceref RePulseCnt2ReadbackDsGen_17__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10902          (portref C (instanceref RePulseCnt2ReadbackDsGen_18__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10903          (portref C (instanceref RePulseCnt2ReadbackDsGen_18__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10904          (portref C (instanceref RePulseCnt2ReadbackDsGen_19__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10905          (portref C (instanceref RePulseCnt2ReadbackDsGen_19__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10906          (portref C (instanceref RePulseCnt2ReadbackDsGen_1__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10907          (portref C (instanceref RePulseCnt2ReadbackDsGen_1__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10908          (portref C (instanceref RePulseCnt2ReadbackDsGen_20__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10909          (portref C (instanceref RePulseCnt2ReadbackDsGen_20__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10910          (portref C (instanceref RePulseCnt2ReadbackDsGen_21__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10911          (portref C (instanceref RePulseCnt2ReadbackDsGen_21__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10912          (portref C (instanceref RePulseCnt2ReadbackDsGen_22__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10913          (portref C (instanceref RePulseCnt2ReadbackDsGen_22__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10914          (portref C (instanceref RePulseCnt2ReadbackDsGen_23__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10915          (portref C (instanceref RePulseCnt2ReadbackDsGen_23__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10916          (portref C (instanceref RePulseCnt2ReadbackDsGen_24__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10917          (portref C (instanceref RePulseCnt2ReadbackDsGen_24__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10918          (portref C (instanceref RePulseCnt2ReadbackDsGen_25__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10919          (portref C (instanceref RePulseCnt2ReadbackDsGen_25__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10920          (portref C (instanceref RePulseCnt2ReadbackDsGen_26__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10921          (portref C (instanceref RePulseCnt2ReadbackDsGen_26__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10922          (portref C (instanceref RePulseCnt2ReadbackDsGen_27__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10923          (portref C (instanceref RePulseCnt2ReadbackDsGen_27__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10924          (portref C (instanceref RePulseCnt2ReadbackDsGen_28__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10925          (portref C (instanceref RePulseCnt2ReadbackDsGen_28__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10926          (portref C (instanceref RePulseCnt2ReadbackDsGen_29__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10927          (portref C (instanceref RePulseCnt2ReadbackDsGen_29__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10928          (portref C (instanceref RePulseCnt2ReadbackDsGen_2__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10929          (portref C (instanceref RePulseCnt2ReadbackDsGen_2__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10930          (portref C (instanceref RePulseCnt2ReadbackDsGen_30__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10931          (portref C (instanceref RePulseCnt2ReadbackDsGen_30__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10932          (portref C (instanceref RePulseCnt2ReadbackDsGen_31__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10933          (portref C (instanceref RePulseCnt2ReadbackDsGen_31__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10934          (portref C (instanceref RePulseCnt2ReadbackDsGen_3__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10935          (portref C (instanceref RePulseCnt2ReadbackDsGen_3__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10936          (portref C (instanceref RePulseCnt2ReadbackDsGen_4__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10937          (portref C (instanceref RePulseCnt2ReadbackDsGen_4__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10938          (portref C (instanceref RePulseCnt2ReadbackDsGen_5__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10939          (portref C (instanceref RePulseCnt2ReadbackDsGen_5__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10940          (portref C (instanceref RePulseCnt2ReadbackDsGen_6__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10941          (portref C (instanceref RePulseCnt2ReadbackDsGen_6__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10942          (portref C (instanceref RePulseCnt2ReadbackDsGen_7__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10943          (portref C (instanceref RePulseCnt2ReadbackDsGen_7__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10944          (portref C (instanceref RePulseCnt2ReadbackDsGen_8__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10945          (portref C (instanceref RePulseCnt2ReadbackDsGen_8__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10946          (portref C (instanceref RePulseCnt2ReadbackDsGen_9__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10947          (portref C (instanceref RePulseCnt2ReadbackDsGen_9__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10948          (portref C (instanceref ReRunEnableDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
10949          (portref C (instanceref ResetDoneDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10950          (portref C (instanceref ResetDoneDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10951          (portref C (instanceref ResetTdcDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
10952          (portref C (instanceref RpCntHs_BlkIn_iDlyPush_reg))
10953          (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_0_))
10954          (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_10_))
10955          (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_11_))
10956          (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_12_))
10957          (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_13_))
10958          (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_14_))
10959          (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_15_))
10960          (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_16_))
10961          (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_17_))
10962          (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_18_))
10963          (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_19_))
10964          (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_1_))
10965          (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_20_))
10966          (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_21_))
10967          (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_22_))
10968          (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_23_))
10969          (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_24_))
10970          (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_25_))
10971          (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_26_))
10972          (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_27_))
10973          (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_28_))
10974          (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_29_))
10975          (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_2_))
10976          (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_30_))
10977          (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_31_))
10978          (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_3_))
10979          (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_4_))
10980          (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_5_))
10981          (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_6_))
10982          (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_7_))
10983          (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_8_))
10984          (portref C (instanceref RpCntHs_BlkIn_iLclStoredData_reg_9_))
10985          (portref C (instanceref RpCntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
10986          (portref C (instanceref RpCntReadbackDsGen_0__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10987          (portref C (instanceref RpCntReadbackDsGen_0__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10988          (portref C (instanceref RpCntReadbackDsGen_10__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10989          (portref C (instanceref RpCntReadbackDsGen_10__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10990          (portref C (instanceref RpCntReadbackDsGen_11__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10991          (portref C (instanceref RpCntReadbackDsGen_11__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10992          (portref C (instanceref RpCntReadbackDsGen_12__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10993          (portref C (instanceref RpCntReadbackDsGen_12__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10994          (portref C (instanceref RpCntReadbackDsGen_13__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10995          (portref C (instanceref RpCntReadbackDsGen_13__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10996          (portref C (instanceref RpCntReadbackDsGen_14__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10997          (portref C (instanceref RpCntReadbackDsGen_14__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
10998          (portref C (instanceref RpCntReadbackDsGen_15__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
10999          (portref C (instanceref RpCntReadbackDsGen_15__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11000          (portref C (instanceref RpCntReadbackDsGen_16__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11001          (portref C (instanceref RpCntReadbackDsGen_16__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11002          (portref C (instanceref RpCntReadbackDsGen_17__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11003          (portref C (instanceref RpCntReadbackDsGen_17__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11004          (portref C (instanceref RpCntReadbackDsGen_18__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11005          (portref C (instanceref RpCntReadbackDsGen_18__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11006          (portref C (instanceref RpCntReadbackDsGen_19__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11007          (portref C (instanceref RpCntReadbackDsGen_19__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11008          (portref C (instanceref RpCntReadbackDsGen_1__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11009          (portref C (instanceref RpCntReadbackDsGen_1__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11010          (portref C (instanceref RpCntReadbackDsGen_20__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11011          (portref C (instanceref RpCntReadbackDsGen_20__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11012          (portref C (instanceref RpCntReadbackDsGen_21__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11013          (portref C (instanceref RpCntReadbackDsGen_21__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11014          (portref C (instanceref RpCntReadbackDsGen_22__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11015          (portref C (instanceref RpCntReadbackDsGen_22__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11016          (portref C (instanceref RpCntReadbackDsGen_23__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11017          (portref C (instanceref RpCntReadbackDsGen_23__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11018          (portref C (instanceref RpCntReadbackDsGen_24__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11019          (portref C (instanceref RpCntReadbackDsGen_24__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11020          (portref C (instanceref RpCntReadbackDsGen_25__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11021          (portref C (instanceref RpCntReadbackDsGen_25__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11022          (portref C (instanceref RpCntReadbackDsGen_26__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11023          (portref C (instanceref RpCntReadbackDsGen_26__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11024          (portref C (instanceref RpCntReadbackDsGen_27__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11025          (portref C (instanceref RpCntReadbackDsGen_27__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11026          (portref C (instanceref RpCntReadbackDsGen_28__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11027          (portref C (instanceref RpCntReadbackDsGen_28__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11028          (portref C (instanceref RpCntReadbackDsGen_29__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11029          (portref C (instanceref RpCntReadbackDsGen_29__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11030          (portref C (instanceref RpCntReadbackDsGen_2__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11031          (portref C (instanceref RpCntReadbackDsGen_2__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11032          (portref C (instanceref RpCntReadbackDsGen_30__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11033          (portref C (instanceref RpCntReadbackDsGen_30__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11034          (portref C (instanceref RpCntReadbackDsGen_31__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11035          (portref C (instanceref RpCntReadbackDsGen_31__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11036          (portref C (instanceref RpCntReadbackDsGen_3__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11037          (portref C (instanceref RpCntReadbackDsGen_3__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11038          (portref C (instanceref RpCntReadbackDsGen_4__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11039          (portref C (instanceref RpCntReadbackDsGen_4__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11040          (portref C (instanceref RpCntReadbackDsGen_5__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11041          (portref C (instanceref RpCntReadbackDsGen_5__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11042          (portref C (instanceref RpCntReadbackDsGen_6__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11043          (portref C (instanceref RpCntReadbackDsGen_6__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11044          (portref C (instanceref RpCntReadbackDsGen_7__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11045          (portref C (instanceref RpCntReadbackDsGen_7__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11046          (portref C (instanceref RpCntReadbackDsGen_8__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11047          (portref C (instanceref RpCntReadbackDsGen_8__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11048          (portref C (instanceref RpCntReadbackDsGen_9__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11049          (portref C (instanceref RpCntReadbackDsGen_9__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11050          (portref C (instanceref RptCntHs_BlkIn_iDlyPush_reg))
11051          (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_0_))
11052          (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_10_))
11053          (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_11_))
11054          (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_12_))
11055          (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_13_))
11056          (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_14_))
11057          (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_15_))
11058          (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_16_))
11059          (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_17_))
11060          (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_18_))
11061          (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_19_))
11062          (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_1_))
11063          (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_20_))
11064          (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_21_))
11065          (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_22_))
11066          (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_23_))
11067          (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_24_))
11068          (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_25_))
11069          (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_26_))
11070          (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_27_))
11071          (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_28_))
11072          (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_29_))
11073          (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_2_))
11074          (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_30_))
11075          (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_31_))
11076          (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_3_))
11077          (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_4_))
11078          (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_5_))
11079          (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_6_))
11080          (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_7_))
11081          (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_8_))
11082          (portref C (instanceref RptCntHs_BlkIn_iLclStoredData_reg_9_))
11083          (portref C (instanceref RptCntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
11084          (portref C (instanceref RptCntReadbackDsGen_0__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11085          (portref C (instanceref RptCntReadbackDsGen_0__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11086          (portref C (instanceref RptCntReadbackDsGen_10__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11087          (portref C (instanceref RptCntReadbackDsGen_10__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11088          (portref C (instanceref RptCntReadbackDsGen_11__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11089          (portref C (instanceref RptCntReadbackDsGen_11__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11090          (portref C (instanceref RptCntReadbackDsGen_12__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11091          (portref C (instanceref RptCntReadbackDsGen_12__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11092          (portref C (instanceref RptCntReadbackDsGen_13__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11093          (portref C (instanceref RptCntReadbackDsGen_13__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11094          (portref C (instanceref RptCntReadbackDsGen_14__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11095          (portref C (instanceref RptCntReadbackDsGen_14__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11096          (portref C (instanceref RptCntReadbackDsGen_15__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11097          (portref C (instanceref RptCntReadbackDsGen_15__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11098          (portref C (instanceref RptCntReadbackDsGen_16__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11099          (portref C (instanceref RptCntReadbackDsGen_16__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11100          (portref C (instanceref RptCntReadbackDsGen_17__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11101          (portref C (instanceref RptCntReadbackDsGen_17__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11102          (portref C (instanceref RptCntReadbackDsGen_18__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11103          (portref C (instanceref RptCntReadbackDsGen_18__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11104          (portref C (instanceref RptCntReadbackDsGen_19__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11105          (portref C (instanceref RptCntReadbackDsGen_19__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11106          (portref C (instanceref RptCntReadbackDsGen_1__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11107          (portref C (instanceref RptCntReadbackDsGen_1__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11108          (portref C (instanceref RptCntReadbackDsGen_20__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11109          (portref C (instanceref RptCntReadbackDsGen_20__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11110          (portref C (instanceref RptCntReadbackDsGen_21__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11111          (portref C (instanceref RptCntReadbackDsGen_21__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11112          (portref C (instanceref RptCntReadbackDsGen_22__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11113          (portref C (instanceref RptCntReadbackDsGen_22__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11114          (portref C (instanceref RptCntReadbackDsGen_23__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11115          (portref C (instanceref RptCntReadbackDsGen_23__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11116          (portref C (instanceref RptCntReadbackDsGen_24__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11117          (portref C (instanceref RptCntReadbackDsGen_24__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11118          (portref C (instanceref RptCntReadbackDsGen_25__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11119          (portref C (instanceref RptCntReadbackDsGen_25__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11120          (portref C (instanceref RptCntReadbackDsGen_26__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11121          (portref C (instanceref RptCntReadbackDsGen_26__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11122          (portref C (instanceref RptCntReadbackDsGen_27__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11123          (portref C (instanceref RptCntReadbackDsGen_27__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11124          (portref C (instanceref RptCntReadbackDsGen_28__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11125          (portref C (instanceref RptCntReadbackDsGen_28__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11126          (portref C (instanceref RptCntReadbackDsGen_29__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11127          (portref C (instanceref RptCntReadbackDsGen_29__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11128          (portref C (instanceref RptCntReadbackDsGen_2__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11129          (portref C (instanceref RptCntReadbackDsGen_2__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11130          (portref C (instanceref RptCntReadbackDsGen_30__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11131          (portref C (instanceref RptCntReadbackDsGen_30__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11132          (portref C (instanceref RptCntReadbackDsGen_31__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11133          (portref C (instanceref RptCntReadbackDsGen_31__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11134          (portref C (instanceref RptCntReadbackDsGen_3__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11135          (portref C (instanceref RptCntReadbackDsGen_3__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11136          (portref C (instanceref RptCntReadbackDsGen_4__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11137          (portref C (instanceref RptCntReadbackDsGen_4__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11138          (portref C (instanceref RptCntReadbackDsGen_5__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11139          (portref C (instanceref RptCntReadbackDsGen_5__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11140          (portref C (instanceref RptCntReadbackDsGen_6__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11141          (portref C (instanceref RptCntReadbackDsGen_6__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11142          (portref C (instanceref RptCntReadbackDsGen_7__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11143          (portref C (instanceref RptCntReadbackDsGen_7__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11144          (portref C (instanceref RptCntReadbackDsGen_8__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11145          (portref C (instanceref RptCntReadbackDsGen_8__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11146          (portref C (instanceref RptCntReadbackDsGen_9__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11147          (portref C (instanceref RptCntReadbackDsGen_9__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11148          (portref C (instanceref SpCntHs_BlkIn_iDlyPush_reg))
11149          (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_0_))
11150          (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_10_))
11151          (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_11_))
11152          (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_12_))
11153          (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_13_))
11154          (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_14_))
11155          (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_15_))
11156          (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_16_))
11157          (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_17_))
11158          (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_18_))
11159          (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_19_))
11160          (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_1_))
11161          (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_20_))
11162          (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_21_))
11163          (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_22_))
11164          (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_23_))
11165          (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_24_))
11166          (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_25_))
11167          (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_26_))
11168          (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_27_))
11169          (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_28_))
11170          (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_29_))
11171          (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_2_))
11172          (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_30_))
11173          (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_31_))
11174          (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_3_))
11175          (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_4_))
11176          (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_5_))
11177          (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_6_))
11178          (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_7_))
11179          (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_8_))
11180          (portref C (instanceref SpCntHs_BlkIn_iLclStoredData_reg_9_))
11181          (portref C (instanceref SpCntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
11182          (portref C (instanceref SpCntReadbackDsGen_0__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11183          (portref C (instanceref SpCntReadbackDsGen_0__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11184          (portref C (instanceref SpCntReadbackDsGen_10__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11185          (portref C (instanceref SpCntReadbackDsGen_10__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11186          (portref C (instanceref SpCntReadbackDsGen_11__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11187          (portref C (instanceref SpCntReadbackDsGen_11__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11188          (portref C (instanceref SpCntReadbackDsGen_12__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11189          (portref C (instanceref SpCntReadbackDsGen_12__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11190          (portref C (instanceref SpCntReadbackDsGen_13__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11191          (portref C (instanceref SpCntReadbackDsGen_13__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11192          (portref C (instanceref SpCntReadbackDsGen_14__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11193          (portref C (instanceref SpCntReadbackDsGen_14__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11194          (portref C (instanceref SpCntReadbackDsGen_15__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11195          (portref C (instanceref SpCntReadbackDsGen_15__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11196          (portref C (instanceref SpCntReadbackDsGen_16__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11197          (portref C (instanceref SpCntReadbackDsGen_16__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11198          (portref C (instanceref SpCntReadbackDsGen_17__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11199          (portref C (instanceref SpCntReadbackDsGen_17__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11200          (portref C (instanceref SpCntReadbackDsGen_18__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11201          (portref C (instanceref SpCntReadbackDsGen_18__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11202          (portref C (instanceref SpCntReadbackDsGen_19__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11203          (portref C (instanceref SpCntReadbackDsGen_19__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11204          (portref C (instanceref SpCntReadbackDsGen_1__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11205          (portref C (instanceref SpCntReadbackDsGen_1__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11206          (portref C (instanceref SpCntReadbackDsGen_20__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11207          (portref C (instanceref SpCntReadbackDsGen_20__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11208          (portref C (instanceref SpCntReadbackDsGen_21__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11209          (portref C (instanceref SpCntReadbackDsGen_21__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11210          (portref C (instanceref SpCntReadbackDsGen_22__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11211          (portref C (instanceref SpCntReadbackDsGen_22__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11212          (portref C (instanceref SpCntReadbackDsGen_23__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11213          (portref C (instanceref SpCntReadbackDsGen_23__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11214          (portref C (instanceref SpCntReadbackDsGen_24__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11215          (portref C (instanceref SpCntReadbackDsGen_24__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11216          (portref C (instanceref SpCntReadbackDsGen_25__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11217          (portref C (instanceref SpCntReadbackDsGen_25__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11218          (portref C (instanceref SpCntReadbackDsGen_26__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11219          (portref C (instanceref SpCntReadbackDsGen_26__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11220          (portref C (instanceref SpCntReadbackDsGen_27__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11221          (portref C (instanceref SpCntReadbackDsGen_27__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11222          (portref C (instanceref SpCntReadbackDsGen_28__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11223          (portref C (instanceref SpCntReadbackDsGen_28__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11224          (portref C (instanceref SpCntReadbackDsGen_29__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11225          (portref C (instanceref SpCntReadbackDsGen_29__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11226          (portref C (instanceref SpCntReadbackDsGen_2__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11227          (portref C (instanceref SpCntReadbackDsGen_2__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11228          (portref C (instanceref SpCntReadbackDsGen_30__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11229          (portref C (instanceref SpCntReadbackDsGen_30__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11230          (portref C (instanceref SpCntReadbackDsGen_31__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11231          (portref C (instanceref SpCntReadbackDsGen_31__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11232          (portref C (instanceref SpCntReadbackDsGen_3__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11233          (portref C (instanceref SpCntReadbackDsGen_3__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11234          (portref C (instanceref SpCntReadbackDsGen_4__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11235          (portref C (instanceref SpCntReadbackDsGen_4__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11236          (portref C (instanceref SpCntReadbackDsGen_5__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11237          (portref C (instanceref SpCntReadbackDsGen_5__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11238          (portref C (instanceref SpCntReadbackDsGen_6__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11239          (portref C (instanceref SpCntReadbackDsGen_6__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11240          (portref C (instanceref SpCntReadbackDsGen_7__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11241          (portref C (instanceref SpCntReadbackDsGen_7__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11242          (portref C (instanceref SpCntReadbackDsGen_8__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11243          (portref C (instanceref SpCntReadbackDsGen_8__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11244          (portref C (instanceref SpCntReadbackDsGen_9__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11245          (portref C (instanceref SpCntReadbackDsGen_9__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11246          (portref C (instanceref SptCntHs_BlkIn_iDlyPush_reg))
11247          (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_0_))
11248          (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_10_))
11249          (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_11_))
11250          (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_12_))
11251          (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_13_))
11252          (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_14_))
11253          (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_15_))
11254          (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_16_))
11255          (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_17_))
11256          (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_18_))
11257          (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_19_))
11258          (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_1_))
11259          (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_20_))
11260          (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_21_))
11261          (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_22_))
11262          (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_23_))
11263          (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_24_))
11264          (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_25_))
11265          (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_26_))
11266          (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_27_))
11267          (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_28_))
11268          (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_29_))
11269          (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_2_))
11270          (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_30_))
11271          (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_31_))
11272          (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_3_))
11273          (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_4_))
11274          (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_5_))
11275          (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_6_))
11276          (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_7_))
11277          (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_8_))
11278          (portref C (instanceref SptCntHs_BlkIn_iLclStoredData_reg_9_))
11279          (portref C (instanceref SptCntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
11280          (portref C (instanceref SptCntReadbackDsGen_0__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11281          (portref C (instanceref SptCntReadbackDsGen_0__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11282          (portref C (instanceref SptCntReadbackDsGen_10__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11283          (portref C (instanceref SptCntReadbackDsGen_10__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11284          (portref C (instanceref SptCntReadbackDsGen_11__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11285          (portref C (instanceref SptCntReadbackDsGen_11__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11286          (portref C (instanceref SptCntReadbackDsGen_12__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11287          (portref C (instanceref SptCntReadbackDsGen_12__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11288          (portref C (instanceref SptCntReadbackDsGen_13__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11289          (portref C (instanceref SptCntReadbackDsGen_13__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11290          (portref C (instanceref SptCntReadbackDsGen_14__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11291          (portref C (instanceref SptCntReadbackDsGen_14__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11292          (portref C (instanceref SptCntReadbackDsGen_15__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11293          (portref C (instanceref SptCntReadbackDsGen_15__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11294          (portref C (instanceref SptCntReadbackDsGen_16__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11295          (portref C (instanceref SptCntReadbackDsGen_16__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11296          (portref C (instanceref SptCntReadbackDsGen_17__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11297          (portref C (instanceref SptCntReadbackDsGen_17__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11298          (portref C (instanceref SptCntReadbackDsGen_18__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11299          (portref C (instanceref SptCntReadbackDsGen_18__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11300          (portref C (instanceref SptCntReadbackDsGen_19__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11301          (portref C (instanceref SptCntReadbackDsGen_19__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11302          (portref C (instanceref SptCntReadbackDsGen_1__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11303          (portref C (instanceref SptCntReadbackDsGen_1__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11304          (portref C (instanceref SptCntReadbackDsGen_20__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11305          (portref C (instanceref SptCntReadbackDsGen_20__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11306          (portref C (instanceref SptCntReadbackDsGen_21__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11307          (portref C (instanceref SptCntReadbackDsGen_21__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11308          (portref C (instanceref SptCntReadbackDsGen_22__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11309          (portref C (instanceref SptCntReadbackDsGen_22__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11310          (portref C (instanceref SptCntReadbackDsGen_23__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11311          (portref C (instanceref SptCntReadbackDsGen_23__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11312          (portref C (instanceref SptCntReadbackDsGen_24__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11313          (portref C (instanceref SptCntReadbackDsGen_24__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11314          (portref C (instanceref SptCntReadbackDsGen_25__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11315          (portref C (instanceref SptCntReadbackDsGen_25__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11316          (portref C (instanceref SptCntReadbackDsGen_26__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11317          (portref C (instanceref SptCntReadbackDsGen_26__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11318          (portref C (instanceref SptCntReadbackDsGen_27__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11319          (portref C (instanceref SptCntReadbackDsGen_27__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11320          (portref C (instanceref SptCntReadbackDsGen_28__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11321          (portref C (instanceref SptCntReadbackDsGen_28__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11322          (portref C (instanceref SptCntReadbackDsGen_29__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11323          (portref C (instanceref SptCntReadbackDsGen_29__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11324          (portref C (instanceref SptCntReadbackDsGen_2__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11325          (portref C (instanceref SptCntReadbackDsGen_2__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11326          (portref C (instanceref SptCntReadbackDsGen_30__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11327          (portref C (instanceref SptCntReadbackDsGen_30__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11328          (portref C (instanceref SptCntReadbackDsGen_31__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11329          (portref C (instanceref SptCntReadbackDsGen_31__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11330          (portref C (instanceref SptCntReadbackDsGen_3__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11331          (portref C (instanceref SptCntReadbackDsGen_3__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11332          (portref C (instanceref SptCntReadbackDsGen_4__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11333          (portref C (instanceref SptCntReadbackDsGen_4__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11334          (portref C (instanceref SptCntReadbackDsGen_5__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11335          (portref C (instanceref SptCntReadbackDsGen_5__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11336          (portref C (instanceref SptCntReadbackDsGen_6__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11337          (portref C (instanceref SptCntReadbackDsGen_6__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11338          (portref C (instanceref SptCntReadbackDsGen_7__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11339          (portref C (instanceref SptCntReadbackDsGen_7__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11340          (portref C (instanceref SptCntReadbackDsGen_8__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11341          (portref C (instanceref SptCntReadbackDsGen_8__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11342          (portref C (instanceref SptCntReadbackDsGen_9__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11343          (portref C (instanceref SptCntReadbackDsGen_9__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11344          (portref C (instanceref aTdcResetLcl_reg))
11345          (portref C (instanceref aTdcResetLcl_reg_rep))
11346          (portref C (instanceref aTdcResetLcl_reg_rep__0))
11347          (portref C (instanceref aTdcResetLcl_reg_rep__1))
11348          (portref C (instanceref aTdcResetLcl_reg_rep__2))
11349          (portref C (instanceref aTdcResetLcl_reg_rep__3))
11350          (portref C (instanceref bClearTdcRegs_reg))
11351          (portref C (instanceref bEnableTdc_reg))
11352          (portref C (instanceref bOffsetUpdated_reg))
11353          (portref C (instanceref bPpsClkCrossDelayVal_reg_0_))
11354          (portref C (instanceref bPpsClkCrossDelayVal_reg_1_))
11355          (portref C (instanceref bPpsClkCrossDelayVal_reg_2_))
11356          (portref C (instanceref bPpsClkCrossDelayVal_reg_3_))
11357          (portref C (instanceref bPpsClkCrossEn_reg))
11358          (portref C (instanceref bPulserEnableDelayVal_reg_0_))
11359          (portref C (instanceref bPulserEnableDelayVal_reg_1_))
11360          (portref C (instanceref bPulserEnableDelayVal_reg_2_))
11361          (portref C (instanceref bPulserEnableDelayVal_reg_3_))
11362          (portref C (instanceref bPushPpsDelayVal_reg))
11363          (portref C (instanceref bPushPulserEnableDelayVal_reg))
11364          (portref C (instanceref bReRunEnable_reg))
11365          (portref C (instanceref bRegPortOutLcl_reg_Data__0_))
11366          (portref C (instanceref bRegPortOutLcl_reg_Data__10_))
11367          (portref C (instanceref bRegPortOutLcl_reg_Data__11_))
11368          (portref C (instanceref bRegPortOutLcl_reg_Data__12_))
11369          (portref C (instanceref bRegPortOutLcl_reg_Data__13_))
11370          (portref C (instanceref bRegPortOutLcl_reg_Data__14_))
11371          (portref C (instanceref bRegPortOutLcl_reg_Data__15_))
11372          (portref C (instanceref bRegPortOutLcl_reg_Data__16_))
11373          (portref C (instanceref bRegPortOutLcl_reg_Data__17_))
11374          (portref C (instanceref bRegPortOutLcl_reg_Data__18_))
11375          (portref C (instanceref bRegPortOutLcl_reg_Data__19_))
11376          (portref C (instanceref bRegPortOutLcl_reg_Data__1_))
11377          (portref C (instanceref bRegPortOutLcl_reg_Data__20_))
11378          (portref C (instanceref bRegPortOutLcl_reg_Data__21_))
11379          (portref C (instanceref bRegPortOutLcl_reg_Data__22_))
11380          (portref C (instanceref bRegPortOutLcl_reg_Data__23_))
11381          (portref C (instanceref bRegPortOutLcl_reg_Data__24_))
11382          (portref C (instanceref bRegPortOutLcl_reg_Data__25_))
11383          (portref C (instanceref bRegPortOutLcl_reg_Data__26_))
11384          (portref C (instanceref bRegPortOutLcl_reg_Data__27_))
11385          (portref C (instanceref bRegPortOutLcl_reg_Data__28_))
11386          (portref C (instanceref bRegPortOutLcl_reg_Data__29_))
11387          (portref C (instanceref bRegPortOutLcl_reg_Data__2_))
11388          (portref C (instanceref bRegPortOutLcl_reg_Data__30_))
11389          (portref C (instanceref bRegPortOutLcl_reg_Data__31_))
11390          (portref C (instanceref bRegPortOutLcl_reg_Data__3_))
11391          (portref C (instanceref bRegPortOutLcl_reg_Data__4_))
11392          (portref C (instanceref bRegPortOutLcl_reg_Data__5_))
11393          (portref C (instanceref bRegPortOutLcl_reg_Data__6_))
11394          (portref C (instanceref bRegPortOutLcl_reg_Data__7_))
11395          (portref C (instanceref bRegPortOutLcl_reg_Data__8_))
11396          (portref C (instanceref bRegPortOutLcl_reg_Data__9_))
11397          (portref C (instanceref bResetTdc_reg))
11398          (portref C (instanceref bRpOffsetStored_reg_0_))
11399          (portref C (instanceref bRpOffsetStored_reg_10_))
11400          (portref C (instanceref bRpOffsetStored_reg_11_))
11401          (portref C (instanceref bRpOffsetStored_reg_12_))
11402          (portref C (instanceref bRpOffsetStored_reg_13_))
11403          (portref C (instanceref bRpOffsetStored_reg_14_))
11404          (portref C (instanceref bRpOffsetStored_reg_15_))
11405          (portref C (instanceref bRpOffsetStored_reg_16_))
11406          (portref C (instanceref bRpOffsetStored_reg_17_))
11407          (portref C (instanceref bRpOffsetStored_reg_18_))
11408          (portref C (instanceref bRpOffsetStored_reg_19_))
11409          (portref C (instanceref bRpOffsetStored_reg_1_))
11410          (portref C (instanceref bRpOffsetStored_reg_20_))
11411          (portref C (instanceref bRpOffsetStored_reg_21_))
11412          (portref C (instanceref bRpOffsetStored_reg_22_))
11413          (portref C (instanceref bRpOffsetStored_reg_23_))
11414          (portref C (instanceref bRpOffsetStored_reg_24_))
11415          (portref C (instanceref bRpOffsetStored_reg_25_))
11416          (portref C (instanceref bRpOffsetStored_reg_26_))
11417          (portref C (instanceref bRpOffsetStored_reg_27_))
11418          (portref C (instanceref bRpOffsetStored_reg_28_))
11419          (portref C (instanceref bRpOffsetStored_reg_29_))
11420          (portref C (instanceref bRpOffsetStored_reg_2_))
11421          (portref C (instanceref bRpOffsetStored_reg_30_))
11422          (portref C (instanceref bRpOffsetStored_reg_31_))
11423          (portref C (instanceref bRpOffsetStored_reg_32_))
11424          (portref C (instanceref bRpOffsetStored_reg_33_))
11425          (portref C (instanceref bRpOffsetStored_reg_34_))
11426          (portref C (instanceref bRpOffsetStored_reg_35_))
11427          (portref C (instanceref bRpOffsetStored_reg_36_))
11428          (portref C (instanceref bRpOffsetStored_reg_37_))
11429          (portref C (instanceref bRpOffsetStored_reg_38_))
11430          (portref C (instanceref bRpOffsetStored_reg_39_))
11431          (portref C (instanceref bRpOffsetStored_reg_3_))
11432          (portref C (instanceref bRpOffsetStored_reg_4_))
11433          (portref C (instanceref bRpOffsetStored_reg_5_))
11434          (portref C (instanceref bRpOffsetStored_reg_6_))
11435          (portref C (instanceref bRpOffsetStored_reg_7_))
11436          (portref C (instanceref bRpOffsetStored_reg_8_))
11437          (portref C (instanceref bRpOffsetStored_reg_9_))
11438          (portref C (instanceref bScratch_reg_0_))
11439          (portref C (instanceref bScratch_reg_10_))
11440          (portref C (instanceref bScratch_reg_11_))
11441          (portref C (instanceref bScratch_reg_12_))
11442          (portref C (instanceref bScratch_reg_13_))
11443          (portref C (instanceref bScratch_reg_14_))
11444          (portref C (instanceref bScratch_reg_15_))
11445          (portref C (instanceref bScratch_reg_16_))
11446          (portref C (instanceref bScratch_reg_17_))
11447          (portref C (instanceref bScratch_reg_18_))
11448          (portref C (instanceref bScratch_reg_19_))
11449          (portref C (instanceref bScratch_reg_1_))
11450          (portref C (instanceref bScratch_reg_20_))
11451          (portref C (instanceref bScratch_reg_21_))
11452          (portref C (instanceref bScratch_reg_22_))
11453          (portref C (instanceref bScratch_reg_23_))
11454          (portref C (instanceref bScratch_reg_24_))
11455          (portref C (instanceref bScratch_reg_25_))
11456          (portref C (instanceref bScratch_reg_26_))
11457          (portref C (instanceref bScratch_reg_27_))
11458          (portref C (instanceref bScratch_reg_28_))
11459          (portref C (instanceref bScratch_reg_29_))
11460          (portref C (instanceref bScratch_reg_2_))
11461          (portref C (instanceref bScratch_reg_30_))
11462          (portref C (instanceref bScratch_reg_31_))
11463          (portref C (instanceref bScratch_reg_3_))
11464          (portref C (instanceref bScratch_reg_4_))
11465          (portref C (instanceref bScratch_reg_5_))
11466          (portref C (instanceref bScratch_reg_6_))
11467          (portref C (instanceref bScratch_reg_7_))
11468          (portref C (instanceref bScratch_reg_8_))
11469          (portref C (instanceref bScratch_reg_9_))
11470          (portref C (instanceref bSpOffsetStored_reg_0_))
11471          (portref C (instanceref bSpOffsetStored_reg_10_))
11472          (portref C (instanceref bSpOffsetStored_reg_11_))
11473          (portref C (instanceref bSpOffsetStored_reg_12_))
11474          (portref C (instanceref bSpOffsetStored_reg_13_))
11475          (portref C (instanceref bSpOffsetStored_reg_14_))
11476          (portref C (instanceref bSpOffsetStored_reg_15_))
11477          (portref C (instanceref bSpOffsetStored_reg_16_))
11478          (portref C (instanceref bSpOffsetStored_reg_17_))
11479          (portref C (instanceref bSpOffsetStored_reg_18_))
11480          (portref C (instanceref bSpOffsetStored_reg_19_))
11481          (portref C (instanceref bSpOffsetStored_reg_1_))
11482          (portref C (instanceref bSpOffsetStored_reg_20_))
11483          (portref C (instanceref bSpOffsetStored_reg_21_))
11484          (portref C (instanceref bSpOffsetStored_reg_22_))
11485          (portref C (instanceref bSpOffsetStored_reg_23_))
11486          (portref C (instanceref bSpOffsetStored_reg_24_))
11487          (portref C (instanceref bSpOffsetStored_reg_25_))
11488          (portref C (instanceref bSpOffsetStored_reg_26_))
11489          (portref C (instanceref bSpOffsetStored_reg_27_))
11490          (portref C (instanceref bSpOffsetStored_reg_28_))
11491          (portref C (instanceref bSpOffsetStored_reg_29_))
11492          (portref C (instanceref bSpOffsetStored_reg_2_))
11493          (portref C (instanceref bSpOffsetStored_reg_30_))
11494          (portref C (instanceref bSpOffsetStored_reg_31_))
11495          (portref C (instanceref bSpOffsetStored_reg_3_))
11496          (portref C (instanceref bSpOffsetStored_reg_4_))
11497          (portref C (instanceref bSpOffsetStored_reg_5_))
11498          (portref C (instanceref bSpOffsetStored_reg_6_))
11499          (portref C (instanceref bSpOffsetStored_reg_7_))
11500          (portref C (instanceref bSpOffsetStored_reg_8_))
11501          (portref C (instanceref bSpOffsetStored_reg_9_))
11502          (portref BusClk)
11503          )
11504         )
11505         (net (rename EnableTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_ms "EnableTdcDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSig_ms") (joined
11506          (portref D (instanceref EnableTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
11507          (portref Q (instanceref EnableTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11508          )
11509         )
11510         (net (rename EnableTdcDs_DoubleSyncBasex_iDlySig "EnableTdcDs/DoubleSyncBasex/iDlySig") (joined
11511          (portref D (instanceref EnableTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
11512          (portref Q (instanceref EnableTdcDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
11513          )
11514
11515           (property RTL_KEEP (string "true"))
11516         )
11517         (net (rename Gen0_FDCEx_i_1__10_n_0 "Gen0.FDCEx_i_1__10_n_0") (joined
11518          (portref CE (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_0_))
11519          (portref CE (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_1_))
11520          (portref CE (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_2_))
11521          (portref CE (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_3_))
11522          (portref CE (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx))
11523          (portref O (instanceref Gen0_FDCEx_i_1__10))
11524          )
11525         )
11526         (net (rename Gen0_FDCEx_i_1__11_n_0 "Gen0.FDCEx_i_1__11_n_0") (joined
11527          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_0_))
11528          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_10_))
11529          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_11_))
11530          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_12_))
11531          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_13_))
11532          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_14_))
11533          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_15_))
11534          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_16_))
11535          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_17_))
11536          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_18_))
11537          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_19_))
11538          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_1_))
11539          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_20_))
11540          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_21_))
11541          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_22_))
11542          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_23_))
11543          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_24_))
11544          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_25_))
11545          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_26_))
11546          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_27_))
11547          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_28_))
11548          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_29_))
11549          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_2_))
11550          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_30_))
11551          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_31_))
11552          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_32_))
11553          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_33_))
11554          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_34_))
11555          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_35_))
11556          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_36_))
11557          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_37_))
11558          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_38_))
11559          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_39_))
11560          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_3_))
11561          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_40_))
11562          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_41_))
11563          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_42_))
11564          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_43_))
11565          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_44_))
11566          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_45_))
11567          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_46_))
11568          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_47_))
11569          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_48_))
11570          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_49_))
11571          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_4_))
11572          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_50_))
11573          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_51_))
11574          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_52_))
11575          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_53_))
11576          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_54_))
11577          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_55_))
11578          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_56_))
11579          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_57_))
11580          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_58_))
11581          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_59_))
11582          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_5_))
11583          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_60_))
11584          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_61_))
11585          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_62_))
11586          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_63_))
11587          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_64_))
11588          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_65_))
11589          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_66_))
11590          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_67_))
11591          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_68_))
11592          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_69_))
11593          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_6_))
11594          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_70_))
11595          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_71_))
11596          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_72_))
11597          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_73_))
11598          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_74_))
11599          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_75_))
11600          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_76_))
11601          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_77_))
11602          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_78_))
11603          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_79_))
11604          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_7_))
11605          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_8_))
11606          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_9_))
11607          (portref CE (instanceref IncomingOffsetHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx))
11608          (portref O (instanceref Gen0_FDCEx_i_1__11))
11609          )
11610         )
11611         (net (rename Gen0_FDCEx_i_1__9_n_0 "Gen0.FDCEx_i_1__9_n_0") (joined
11612          (portref CE (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_0_))
11613          (portref CE (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_1_))
11614          (portref CE (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_2_))
11615          (portref CE (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_3_))
11616          (portref CE (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx))
11617          (portref O (instanceref Gen0_FDCEx_i_1__9))
11618          )
11619         )
11620         (net (rename Gen0_FDCEx_i_3__0_n_0 "Gen0.FDCEx_i_3__0_n_0") (joined
11621          (portref I0 (instanceref BlkIn_iDlyPush_i_1__1))
11622          (portref I0 (instanceref BlkIn_iDlyPush_i_1__2))
11623          (portref I3 (instanceref Gen0_FDCEx_i_1__1))
11624          (portref I3 (instanceref Gen0_FDCEx_i_1__2))
11625          (portref O (instanceref Gen0_FDCEx_i_3__0))
11626          )
11627         )
11628         (net (rename Gen0_FDCEx_i_3__1_n_0 "Gen0.FDCEx_i_3__1_n_0") (joined
11629          (portref I0 (instanceref BlkIn_iDlyPush_i_1__3))
11630          (portref I0 (instanceref BlkIn_iDlyPush_i_1__4))
11631          (portref I3 (instanceref Gen0_FDCEx_i_1__3))
11632          (portref I3 (instanceref Gen0_FDCEx_i_1__4))
11633          (portref O (instanceref Gen0_FDCEx_i_3__1))
11634          )
11635         )
11636         (net (rename Gen0_FDCEx_i_3_n_0 "Gen0.FDCEx_i_3_n_0") (joined
11637          (portref I0 (instanceref BlkIn_iDlyPush_i_1))
11638          (portref I0 (instanceref BlkIn_iDlyPush_i_1__0))
11639          (portref I3 (instanceref Gen0_FDCEx_i_1))
11640          (portref I3 (instanceref Gen0_FDCEx_i_1__0))
11641          (portref O (instanceref Gen0_FDCEx_i_3))
11642          )
11643         )
11644         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__0_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[0]") (joined
11645          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
11646          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_0_))
11647          )
11648         )
11649         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__10_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[10]") (joined
11650          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
11651          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_10_))
11652          )
11653         )
11654         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__11_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[11]") (joined
11655          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
11656          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_11_))
11657          )
11658         )
11659         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__12_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[12]") (joined
11660          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
11661          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_12_))
11662          )
11663         )
11664         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__13_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[13]") (joined
11665          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
11666          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_13_))
11667          )
11668         )
11669         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__14_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[14]") (joined
11670          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
11671          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_14_))
11672          )
11673         )
11674         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__15_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[15]") (joined
11675          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
11676          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_15_))
11677          )
11678         )
11679         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__16_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[16]") (joined
11680          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
11681          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_16_))
11682          )
11683         )
11684         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__17_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[17]") (joined
11685          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
11686          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_17_))
11687          )
11688         )
11689         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__18_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[18]") (joined
11690          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
11691          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_18_))
11692          )
11693         )
11694         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__19_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[19]") (joined
11695          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
11696          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_19_))
11697          )
11698         )
11699         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__1_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[1]") (joined
11700          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
11701          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_1_))
11702          )
11703         )
11704         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__20_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[20]") (joined
11705          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
11706          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_20_))
11707          )
11708         )
11709         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__21_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[21]") (joined
11710          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
11711          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_21_))
11712          )
11713         )
11714         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__22_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[22]") (joined
11715          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
11716          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_22_))
11717          )
11718         )
11719         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__23_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[23]") (joined
11720          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
11721          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_23_))
11722          )
11723         )
11724         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__24_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[24]") (joined
11725          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
11726          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_24_))
11727          )
11728         )
11729         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__25_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[25]") (joined
11730          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
11731          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_25_))
11732          )
11733         )
11734         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__26_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[26]") (joined
11735          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
11736          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_26_))
11737          )
11738         )
11739         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__27_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[27]") (joined
11740          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
11741          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_27_))
11742          )
11743         )
11744         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__28_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[28]") (joined
11745          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
11746          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_28_))
11747          )
11748         )
11749         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__29_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[29]") (joined
11750          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
11751          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_29_))
11752          )
11753         )
11754         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__2_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[2]") (joined
11755          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
11756          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_2_))
11757          )
11758         )
11759         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__30_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[30]") (joined
11760          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
11761          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_30_))
11762          )
11763         )
11764         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__31_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[31]") (joined
11765          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_31__DFlopx_Gen0_FDCEx))
11766          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_31_))
11767          )
11768         )
11769         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__32_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[32]") (joined
11770          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_32__DFlopx_Gen0_FDCEx))
11771          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_32_))
11772          )
11773         )
11774         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__33_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[33]") (joined
11775          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_33__DFlopx_Gen0_FDCEx))
11776          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_33_))
11777          )
11778         )
11779         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__34_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[34]") (joined
11780          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_34__DFlopx_Gen0_FDCEx))
11781          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_34_))
11782          )
11783         )
11784         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__35_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[35]") (joined
11785          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_35__DFlopx_Gen0_FDCEx))
11786          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_35_))
11787          )
11788         )
11789         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__36_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[36]") (joined
11790          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_36__DFlopx_Gen0_FDCEx))
11791          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_36_))
11792          )
11793         )
11794         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__37_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[37]") (joined
11795          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_37__DFlopx_Gen0_FDCEx))
11796          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_37_))
11797          )
11798         )
11799         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__38_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[38]") (joined
11800          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_38__DFlopx_Gen0_FDCEx))
11801          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_38_))
11802          )
11803         )
11804         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__39_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[39]") (joined
11805          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_39__DFlopx_Gen0_FDCEx))
11806          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_39_))
11807          )
11808         )
11809         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__3_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[3]") (joined
11810          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
11811          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_3_))
11812          )
11813         )
11814         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__40_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[40]") (joined
11815          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_40__DFlopx_Gen0_FDCEx))
11816          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_40_))
11817          )
11818         )
11819         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__41_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[41]") (joined
11820          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_41__DFlopx_Gen0_FDCEx))
11821          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_41_))
11822          )
11823         )
11824         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__42_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[42]") (joined
11825          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_42__DFlopx_Gen0_FDCEx))
11826          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_42_))
11827          )
11828         )
11829         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__43_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[43]") (joined
11830          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_43__DFlopx_Gen0_FDCEx))
11831          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_43_))
11832          )
11833         )
11834         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__44_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[44]") (joined
11835          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_44__DFlopx_Gen0_FDCEx))
11836          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_44_))
11837          )
11838         )
11839         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__45_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[45]") (joined
11840          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_45__DFlopx_Gen0_FDCEx))
11841          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_45_))
11842          )
11843         )
11844         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__46_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[46]") (joined
11845          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_46__DFlopx_Gen0_FDCEx))
11846          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_46_))
11847          )
11848         )
11849         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__47_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[47]") (joined
11850          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_47__DFlopx_Gen0_FDCEx))
11851          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_47_))
11852          )
11853         )
11854         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__48_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[48]") (joined
11855          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_48__DFlopx_Gen0_FDCEx))
11856          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_48_))
11857          )
11858         )
11859         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__49_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[49]") (joined
11860          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_49__DFlopx_Gen0_FDCEx))
11861          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_49_))
11862          )
11863         )
11864         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__4_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[4]") (joined
11865          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
11866          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_4_))
11867          )
11868         )
11869         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__50_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[50]") (joined
11870          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_50__DFlopx_Gen0_FDCEx))
11871          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_50_))
11872          )
11873         )
11874         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__51_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[51]") (joined
11875          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_51__DFlopx_Gen0_FDCEx))
11876          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_51_))
11877          )
11878         )
11879         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__52_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[52]") (joined
11880          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_52__DFlopx_Gen0_FDCEx))
11881          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_52_))
11882          )
11883         )
11884         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__53_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[53]") (joined
11885          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_53__DFlopx_Gen0_FDCEx))
11886          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_53_))
11887          )
11888         )
11889         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__54_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[54]") (joined
11890          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_54__DFlopx_Gen0_FDCEx))
11891          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_54_))
11892          )
11893         )
11894         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__55_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[55]") (joined
11895          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_55__DFlopx_Gen0_FDCEx))
11896          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_55_))
11897          )
11898         )
11899         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__56_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[56]") (joined
11900          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_56__DFlopx_Gen0_FDCEx))
11901          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_56_))
11902          )
11903         )
11904         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__57_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[57]") (joined
11905          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_57__DFlopx_Gen0_FDCEx))
11906          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_57_))
11907          )
11908         )
11909         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__58_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[58]") (joined
11910          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_58__DFlopx_Gen0_FDCEx))
11911          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_58_))
11912          )
11913         )
11914         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__59_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[59]") (joined
11915          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_59__DFlopx_Gen0_FDCEx))
11916          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_59_))
11917          )
11918         )
11919         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__5_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[5]") (joined
11920          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
11921          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_5_))
11922          )
11923         )
11924         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__60_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[60]") (joined
11925          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_60__DFlopx_Gen0_FDCEx))
11926          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_60_))
11927          )
11928         )
11929         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__61_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[61]") (joined
11930          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_61__DFlopx_Gen0_FDCEx))
11931          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_61_))
11932          )
11933         )
11934         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__62_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[62]") (joined
11935          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_62__DFlopx_Gen0_FDCEx))
11936          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_62_))
11937          )
11938         )
11939         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__63_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[63]") (joined
11940          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_63__DFlopx_Gen0_FDCEx))
11941          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_63_))
11942          )
11943         )
11944         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__64_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[64]") (joined
11945          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_64__DFlopx_Gen0_FDCEx))
11946          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_64_))
11947          )
11948         )
11949         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__65_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[65]") (joined
11950          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_65__DFlopx_Gen0_FDCEx))
11951          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_65_))
11952          )
11953         )
11954         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__66_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[66]") (joined
11955          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_66__DFlopx_Gen0_FDCEx))
11956          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_66_))
11957          )
11958         )
11959         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__67_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[67]") (joined
11960          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_67__DFlopx_Gen0_FDCEx))
11961          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_67_))
11962          )
11963         )
11964         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__68_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[68]") (joined
11965          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_68__DFlopx_Gen0_FDCEx))
11966          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_68_))
11967          )
11968         )
11969         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__69_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[69]") (joined
11970          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_69__DFlopx_Gen0_FDCEx))
11971          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_69_))
11972          )
11973         )
11974         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__6_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[6]") (joined
11975          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
11976          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_6_))
11977          )
11978         )
11979         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__70_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[70]") (joined
11980          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_70__DFlopx_Gen0_FDCEx))
11981          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_70_))
11982          )
11983         )
11984         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__71_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[71]") (joined
11985          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_71__DFlopx_Gen0_FDCEx))
11986          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_71_))
11987          )
11988         )
11989         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__72_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[72]") (joined
11990          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_72__DFlopx_Gen0_FDCEx))
11991          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_72_))
11992          )
11993         )
11994         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__73_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[73]") (joined
11995          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_73__DFlopx_Gen0_FDCEx))
11996          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_73_))
11997          )
11998         )
11999         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__74_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[74]") (joined
12000          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_74__DFlopx_Gen0_FDCEx))
12001          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_74_))
12002          )
12003         )
12004         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__75_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[75]") (joined
12005          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_75__DFlopx_Gen0_FDCEx))
12006          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_75_))
12007          )
12008         )
12009         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__76_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[76]") (joined
12010          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_76__DFlopx_Gen0_FDCEx))
12011          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_76_))
12012          )
12013         )
12014         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__77_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[77]") (joined
12015          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_77__DFlopx_Gen0_FDCEx))
12016          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_77_))
12017          )
12018         )
12019         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__78_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[78]") (joined
12020          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_78__DFlopx_Gen0_FDCEx))
12021          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_78_))
12022          )
12023         )
12024         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__79_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[79]") (joined
12025          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_79__DFlopx_Gen0_FDCEx))
12026          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_79_))
12027          )
12028         )
12029         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__7_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[7]") (joined
12030          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
12031          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_7_))
12032          )
12033         )
12034         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__8_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[8]") (joined
12035          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
12036          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_8_))
12037          )
12038         )
12039         (net (rename IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_n_0__9_ "IncomingOffsetHs/HBx/BlkIn.iLclStoredData_reg_n_0_[9]") (joined
12040          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
12041          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_9_))
12042          )
12043         )
12044         (net (rename IncomingOffsetHs_HBx_BlkOut_oPushToggle2_reg_n_0 "IncomingOffsetHs/HBx/BlkOut.oPushToggle2_reg_n_0") (joined
12045          (portref I1 (instanceref Gen0_FDCEx_i_1__7))
12046          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_oPushToggle2_reg))
12047          )
12048         )
12049         (net (rename IncomingOffsetHs_HBx_iDlyPush "IncomingOffsetHs/HBx/iDlyPush") (joined
12050          (portref I1 (instanceref Gen0_FDCEx_i_1__11))
12051          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iDlyPush_reg))
12052          )
12053         )
12054         (net (rename IncomingOffsetHs_HBx_iPushToggle "IncomingOffsetHs/HBx/iPushToggle") (joined
12055          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx))
12056          (portref I0 (instanceref Gen0_FDCEx_i_2__1))
12057          (portref Q (instanceref IncomingOffsetHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx))
12058          )
12059         )
12060         (net (rename IncomingOffsetHs_HBx_iPushToggleNx "IncomingOffsetHs/HBx/iPushToggleNx") (joined
12061          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx))
12062          (portref O (instanceref Gen0_FDCEx_i_2__1))
12063          )
12064         )
12065         (net (rename IncomingOffsetHs_HBx_oPushToggle0_ms "IncomingOffsetHs/HBx/oPushToggle0_ms") (joined
12066          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx))
12067          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx))
12068          )
12069         )
12070         (net (rename IncomingOffsetHs_HBx_oPushToggle1 "IncomingOffsetHs/HBx/oPushToggle1") (joined
12071          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_oPushToggle2_reg))
12072          (portref I0 (instanceref Gen0_FDCEx_i_1__7))
12073          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx))
12074          )
12075         )
12076         (net (rename IncomingOffsetHs_HBx_oPushToggleChanged "IncomingOffsetHs/HBx/oPushToggleChanged") (joined
12077          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
12078          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
12079          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
12080          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
12081          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
12082          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
12083          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
12084          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
12085          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
12086          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
12087          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
12088          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
12089          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
12090          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
12091          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
12092          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
12093          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
12094          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
12095          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
12096          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
12097          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
12098          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
12099          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
12100          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
12101          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_31__DFlopx_Gen0_FDCEx))
12102          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_32__DFlopx_Gen0_FDCEx))
12103          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_33__DFlopx_Gen0_FDCEx))
12104          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_34__DFlopx_Gen0_FDCEx))
12105          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_35__DFlopx_Gen0_FDCEx))
12106          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_36__DFlopx_Gen0_FDCEx))
12107          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_37__DFlopx_Gen0_FDCEx))
12108          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_38__DFlopx_Gen0_FDCEx))
12109          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_39__DFlopx_Gen0_FDCEx))
12110          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
12111          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_40__DFlopx_Gen0_FDCEx))
12112          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_41__DFlopx_Gen0_FDCEx))
12113          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_42__DFlopx_Gen0_FDCEx))
12114          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_43__DFlopx_Gen0_FDCEx))
12115          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_44__DFlopx_Gen0_FDCEx))
12116          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_45__DFlopx_Gen0_FDCEx))
12117          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_46__DFlopx_Gen0_FDCEx))
12118          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_47__DFlopx_Gen0_FDCEx))
12119          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_48__DFlopx_Gen0_FDCEx))
12120          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_49__DFlopx_Gen0_FDCEx))
12121          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
12122          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_50__DFlopx_Gen0_FDCEx))
12123          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_51__DFlopx_Gen0_FDCEx))
12124          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_52__DFlopx_Gen0_FDCEx))
12125          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_53__DFlopx_Gen0_FDCEx))
12126          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_54__DFlopx_Gen0_FDCEx))
12127          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_55__DFlopx_Gen0_FDCEx))
12128          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_56__DFlopx_Gen0_FDCEx))
12129          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_57__DFlopx_Gen0_FDCEx))
12130          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_58__DFlopx_Gen0_FDCEx))
12131          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_59__DFlopx_Gen0_FDCEx))
12132          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
12133          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_60__DFlopx_Gen0_FDCEx))
12134          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_61__DFlopx_Gen0_FDCEx))
12135          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_62__DFlopx_Gen0_FDCEx))
12136          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_63__DFlopx_Gen0_FDCEx))
12137          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_64__DFlopx_Gen0_FDCEx))
12138          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_65__DFlopx_Gen0_FDCEx))
12139          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_66__DFlopx_Gen0_FDCEx))
12140          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_67__DFlopx_Gen0_FDCEx))
12141          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_68__DFlopx_Gen0_FDCEx))
12142          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_69__DFlopx_Gen0_FDCEx))
12143          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
12144          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_70__DFlopx_Gen0_FDCEx))
12145          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_71__DFlopx_Gen0_FDCEx))
12146          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_72__DFlopx_Gen0_FDCEx))
12147          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_73__DFlopx_Gen0_FDCEx))
12148          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_74__DFlopx_Gen0_FDCEx))
12149          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_75__DFlopx_Gen0_FDCEx))
12150          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_76__DFlopx_Gen0_FDCEx))
12151          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_77__DFlopx_Gen0_FDCEx))
12152          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_78__DFlopx_Gen0_FDCEx))
12153          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_79__DFlopx_Gen0_FDCEx))
12154          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
12155          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
12156          (portref CE (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
12157          (portref D (instanceref IncomingOffsetHs_HBx_BlkOut_oDataValid_reg))
12158          (portref O (instanceref Gen0_FDCEx_i_1__7))
12159          )
12160         )
12161         (net MeasClk (joined
12162          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iDlyPush_reg))
12163          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_0_))
12164          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_10_))
12165          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_11_))
12166          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_12_))
12167          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_13_))
12168          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_14_))
12169          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_15_))
12170          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_16_))
12171          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_17_))
12172          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_18_))
12173          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_19_))
12174          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_1_))
12175          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_20_))
12176          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_21_))
12177          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_22_))
12178          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_23_))
12179          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_24_))
12180          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_25_))
12181          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_26_))
12182          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_27_))
12183          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_28_))
12184          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_29_))
12185          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_2_))
12186          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_30_))
12187          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_31_))
12188          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_32_))
12189          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_33_))
12190          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_34_))
12191          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_35_))
12192          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_36_))
12193          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_37_))
12194          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_38_))
12195          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_39_))
12196          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_3_))
12197          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_40_))
12198          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_41_))
12199          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_42_))
12200          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_43_))
12201          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_44_))
12202          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_45_))
12203          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_46_))
12204          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_47_))
12205          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_48_))
12206          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_49_))
12207          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_4_))
12208          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_50_))
12209          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_51_))
12210          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_52_))
12211          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_53_))
12212          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_54_))
12213          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_55_))
12214          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_56_))
12215          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_57_))
12216          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_58_))
12217          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_59_))
12218          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_5_))
12219          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_60_))
12220          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_61_))
12221          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_62_))
12222          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_63_))
12223          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_64_))
12224          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_65_))
12225          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_66_))
12226          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_67_))
12227          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_68_))
12228          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_69_))
12229          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_6_))
12230          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_70_))
12231          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_71_))
12232          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_72_))
12233          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_73_))
12234          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_74_))
12235          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_75_))
12236          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_76_))
12237          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_77_))
12238          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_78_))
12239          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_79_))
12240          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_7_))
12241          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_8_))
12242          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_9_))
12243          (portref C (instanceref IncomingOffsetHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx))
12244          (portref MeasClk)
12245          )
12246         )
12247         (net (rename OffsetsDsGen_0__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[0].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12248          (portref D (instanceref OffsetsDsGen_0__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12249          (portref Q (instanceref OffsetsDsGen_0__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12250          )
12251         )
12252         (net (rename OffsetsDsGen_10__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[10].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12253          (portref D (instanceref OffsetsDsGen_10__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12254          (portref Q (instanceref OffsetsDsGen_10__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12255          )
12256         )
12257         (net (rename OffsetsDsGen_11__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[11].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12258          (portref D (instanceref OffsetsDsGen_11__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12259          (portref Q (instanceref OffsetsDsGen_11__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12260          )
12261         )
12262         (net (rename OffsetsDsGen_12__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[12].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12263          (portref D (instanceref OffsetsDsGen_12__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12264          (portref Q (instanceref OffsetsDsGen_12__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12265          )
12266         )
12267         (net (rename OffsetsDsGen_13__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[13].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12268          (portref D (instanceref OffsetsDsGen_13__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12269          (portref Q (instanceref OffsetsDsGen_13__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12270          )
12271         )
12272         (net (rename OffsetsDsGen_14__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[14].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12273          (portref D (instanceref OffsetsDsGen_14__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12274          (portref Q (instanceref OffsetsDsGen_14__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12275          )
12276         )
12277         (net (rename OffsetsDsGen_15__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[15].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12278          (portref D (instanceref OffsetsDsGen_15__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12279          (portref Q (instanceref OffsetsDsGen_15__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12280          )
12281         )
12282         (net (rename OffsetsDsGen_16__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[16].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12283          (portref D (instanceref OffsetsDsGen_16__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12284          (portref Q (instanceref OffsetsDsGen_16__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12285          )
12286         )
12287         (net (rename OffsetsDsGen_17__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[17].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12288          (portref D (instanceref OffsetsDsGen_17__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12289          (portref Q (instanceref OffsetsDsGen_17__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12290          )
12291         )
12292         (net (rename OffsetsDsGen_18__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[18].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12293          (portref D (instanceref OffsetsDsGen_18__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12294          (portref Q (instanceref OffsetsDsGen_18__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12295          )
12296         )
12297         (net (rename OffsetsDsGen_19__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[19].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12298          (portref D (instanceref OffsetsDsGen_19__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12299          (portref Q (instanceref OffsetsDsGen_19__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12300          )
12301         )
12302         (net (rename OffsetsDsGen_1__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[1].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12303          (portref D (instanceref OffsetsDsGen_1__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12304          (portref Q (instanceref OffsetsDsGen_1__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12305          )
12306         )
12307         (net (rename OffsetsDsGen_20__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[20].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12308          (portref D (instanceref OffsetsDsGen_20__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12309          (portref Q (instanceref OffsetsDsGen_20__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12310          )
12311         )
12312         (net (rename OffsetsDsGen_21__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[21].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12313          (portref D (instanceref OffsetsDsGen_21__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12314          (portref Q (instanceref OffsetsDsGen_21__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12315          )
12316         )
12317         (net (rename OffsetsDsGen_22__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[22].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12318          (portref D (instanceref OffsetsDsGen_22__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12319          (portref Q (instanceref OffsetsDsGen_22__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12320          )
12321         )
12322         (net (rename OffsetsDsGen_23__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[23].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12323          (portref D (instanceref OffsetsDsGen_23__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12324          (portref Q (instanceref OffsetsDsGen_23__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12325          )
12326         )
12327         (net (rename OffsetsDsGen_24__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[24].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12328          (portref D (instanceref OffsetsDsGen_24__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12329          (portref Q (instanceref OffsetsDsGen_24__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12330          )
12331         )
12332         (net (rename OffsetsDsGen_25__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[25].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12333          (portref D (instanceref OffsetsDsGen_25__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12334          (portref Q (instanceref OffsetsDsGen_25__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12335          )
12336         )
12337         (net (rename OffsetsDsGen_26__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[26].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12338          (portref D (instanceref OffsetsDsGen_26__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12339          (portref Q (instanceref OffsetsDsGen_26__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12340          )
12341         )
12342         (net (rename OffsetsDsGen_27__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[27].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12343          (portref D (instanceref OffsetsDsGen_27__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12344          (portref Q (instanceref OffsetsDsGen_27__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12345          )
12346         )
12347         (net (rename OffsetsDsGen_28__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[28].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12348          (portref D (instanceref OffsetsDsGen_28__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12349          (portref Q (instanceref OffsetsDsGen_28__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12350          )
12351         )
12352         (net (rename OffsetsDsGen_29__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[29].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12353          (portref D (instanceref OffsetsDsGen_29__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12354          (portref Q (instanceref OffsetsDsGen_29__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12355          )
12356         )
12357         (net (rename OffsetsDsGen_2__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[2].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12358          (portref D (instanceref OffsetsDsGen_2__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12359          (portref Q (instanceref OffsetsDsGen_2__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12360          )
12361         )
12362         (net (rename OffsetsDsGen_30__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[30].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12363          (portref D (instanceref OffsetsDsGen_30__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12364          (portref Q (instanceref OffsetsDsGen_30__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12365          )
12366         )
12367         (net (rename OffsetsDsGen_31__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[31].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12368          (portref D (instanceref OffsetsDsGen_31__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12369          (portref Q (instanceref OffsetsDsGen_31__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12370          )
12371         )
12372         (net (rename OffsetsDsGen_32__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[32].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12373          (portref D (instanceref OffsetsDsGen_32__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12374          (portref Q (instanceref OffsetsDsGen_32__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12375          )
12376         )
12377         (net (rename OffsetsDsGen_33__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[33].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12378          (portref D (instanceref OffsetsDsGen_33__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12379          (portref Q (instanceref OffsetsDsGen_33__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12380          )
12381         )
12382         (net (rename OffsetsDsGen_34__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[34].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12383          (portref D (instanceref OffsetsDsGen_34__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12384          (portref Q (instanceref OffsetsDsGen_34__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12385          )
12386         )
12387         (net (rename OffsetsDsGen_35__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[35].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12388          (portref D (instanceref OffsetsDsGen_35__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12389          (portref Q (instanceref OffsetsDsGen_35__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12390          )
12391         )
12392         (net (rename OffsetsDsGen_36__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[36].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12393          (portref D (instanceref OffsetsDsGen_36__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12394          (portref Q (instanceref OffsetsDsGen_36__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12395          )
12396         )
12397         (net (rename OffsetsDsGen_37__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[37].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12398          (portref D (instanceref OffsetsDsGen_37__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12399          (portref Q (instanceref OffsetsDsGen_37__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12400          )
12401         )
12402         (net (rename OffsetsDsGen_38__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[38].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12403          (portref D (instanceref OffsetsDsGen_38__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12404          (portref Q (instanceref OffsetsDsGen_38__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12405          )
12406         )
12407         (net (rename OffsetsDsGen_39__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[39].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12408          (portref D (instanceref OffsetsDsGen_39__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12409          (portref Q (instanceref OffsetsDsGen_39__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12410          )
12411         )
12412         (net (rename OffsetsDsGen_3__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[3].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12413          (portref D (instanceref OffsetsDsGen_3__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12414          (portref Q (instanceref OffsetsDsGen_3__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12415          )
12416         )
12417         (net (rename OffsetsDsGen_40__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[40].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12418          (portref D (instanceref OffsetsDsGen_40__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12419          (portref Q (instanceref OffsetsDsGen_40__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12420          )
12421         )
12422         (net (rename OffsetsDsGen_41__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[41].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12423          (portref D (instanceref OffsetsDsGen_41__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12424          (portref Q (instanceref OffsetsDsGen_41__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12425          )
12426         )
12427         (net (rename OffsetsDsGen_42__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[42].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12428          (portref D (instanceref OffsetsDsGen_42__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12429          (portref Q (instanceref OffsetsDsGen_42__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12430          )
12431         )
12432         (net (rename OffsetsDsGen_43__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[43].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12433          (portref D (instanceref OffsetsDsGen_43__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12434          (portref Q (instanceref OffsetsDsGen_43__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12435          )
12436         )
12437         (net (rename OffsetsDsGen_44__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[44].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12438          (portref D (instanceref OffsetsDsGen_44__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12439          (portref Q (instanceref OffsetsDsGen_44__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12440          )
12441         )
12442         (net (rename OffsetsDsGen_45__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[45].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12443          (portref D (instanceref OffsetsDsGen_45__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12444          (portref Q (instanceref OffsetsDsGen_45__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12445          )
12446         )
12447         (net (rename OffsetsDsGen_46__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[46].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12448          (portref D (instanceref OffsetsDsGen_46__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12449          (portref Q (instanceref OffsetsDsGen_46__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12450          )
12451         )
12452         (net (rename OffsetsDsGen_47__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[47].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12453          (portref D (instanceref OffsetsDsGen_47__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12454          (portref Q (instanceref OffsetsDsGen_47__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12455          )
12456         )
12457         (net (rename OffsetsDsGen_48__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[48].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12458          (portref D (instanceref OffsetsDsGen_48__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12459          (portref Q (instanceref OffsetsDsGen_48__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12460          )
12461         )
12462         (net (rename OffsetsDsGen_49__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[49].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12463          (portref D (instanceref OffsetsDsGen_49__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12464          (portref Q (instanceref OffsetsDsGen_49__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12465          )
12466         )
12467         (net (rename OffsetsDsGen_4__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[4].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12468          (portref D (instanceref OffsetsDsGen_4__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12469          (portref Q (instanceref OffsetsDsGen_4__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12470          )
12471         )
12472         (net (rename OffsetsDsGen_50__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[50].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12473          (portref D (instanceref OffsetsDsGen_50__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12474          (portref Q (instanceref OffsetsDsGen_50__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12475          )
12476         )
12477         (net (rename OffsetsDsGen_51__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[51].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12478          (portref D (instanceref OffsetsDsGen_51__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12479          (portref Q (instanceref OffsetsDsGen_51__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12480          )
12481         )
12482         (net (rename OffsetsDsGen_52__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[52].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12483          (portref D (instanceref OffsetsDsGen_52__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12484          (portref Q (instanceref OffsetsDsGen_52__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12485          )
12486         )
12487         (net (rename OffsetsDsGen_53__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[53].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12488          (portref D (instanceref OffsetsDsGen_53__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12489          (portref Q (instanceref OffsetsDsGen_53__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12490          )
12491         )
12492         (net (rename OffsetsDsGen_54__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[54].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12493          (portref D (instanceref OffsetsDsGen_54__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12494          (portref Q (instanceref OffsetsDsGen_54__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12495          )
12496         )
12497         (net (rename OffsetsDsGen_55__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[55].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12498          (portref D (instanceref OffsetsDsGen_55__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12499          (portref Q (instanceref OffsetsDsGen_55__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12500          )
12501         )
12502         (net (rename OffsetsDsGen_56__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[56].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12503          (portref D (instanceref OffsetsDsGen_56__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12504          (portref Q (instanceref OffsetsDsGen_56__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12505          )
12506         )
12507         (net (rename OffsetsDsGen_57__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[57].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12508          (portref D (instanceref OffsetsDsGen_57__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12509          (portref Q (instanceref OffsetsDsGen_57__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12510          )
12511         )
12512         (net (rename OffsetsDsGen_58__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[58].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12513          (portref D (instanceref OffsetsDsGen_58__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12514          (portref Q (instanceref OffsetsDsGen_58__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12515          )
12516         )
12517         (net (rename OffsetsDsGen_59__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[59].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12518          (portref D (instanceref OffsetsDsGen_59__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12519          (portref Q (instanceref OffsetsDsGen_59__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12520          )
12521         )
12522         (net (rename OffsetsDsGen_5__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[5].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12523          (portref D (instanceref OffsetsDsGen_5__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12524          (portref Q (instanceref OffsetsDsGen_5__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12525          )
12526         )
12527         (net (rename OffsetsDsGen_60__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[60].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12528          (portref D (instanceref OffsetsDsGen_60__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12529          (portref Q (instanceref OffsetsDsGen_60__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12530          )
12531         )
12532         (net (rename OffsetsDsGen_61__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[61].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12533          (portref D (instanceref OffsetsDsGen_61__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12534          (portref Q (instanceref OffsetsDsGen_61__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12535          )
12536         )
12537         (net (rename OffsetsDsGen_62__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[62].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12538          (portref D (instanceref OffsetsDsGen_62__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12539          (portref Q (instanceref OffsetsDsGen_62__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12540          )
12541         )
12542         (net (rename OffsetsDsGen_63__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[63].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12543          (portref D (instanceref OffsetsDsGen_63__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12544          (portref Q (instanceref OffsetsDsGen_63__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12545          )
12546         )
12547         (net (rename OffsetsDsGen_64__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[64].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12548          (portref D (instanceref OffsetsDsGen_64__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12549          (portref Q (instanceref OffsetsDsGen_64__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12550          )
12551         )
12552         (net (rename OffsetsDsGen_65__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[65].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12553          (portref D (instanceref OffsetsDsGen_65__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12554          (portref Q (instanceref OffsetsDsGen_65__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12555          )
12556         )
12557         (net (rename OffsetsDsGen_66__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[66].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12558          (portref D (instanceref OffsetsDsGen_66__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12559          (portref Q (instanceref OffsetsDsGen_66__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12560          )
12561         )
12562         (net (rename OffsetsDsGen_67__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[67].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12563          (portref D (instanceref OffsetsDsGen_67__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12564          (portref Q (instanceref OffsetsDsGen_67__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12565          )
12566         )
12567         (net (rename OffsetsDsGen_68__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[68].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12568          (portref D (instanceref OffsetsDsGen_68__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12569          (portref Q (instanceref OffsetsDsGen_68__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12570          )
12571         )
12572         (net (rename OffsetsDsGen_69__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[69].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12573          (portref D (instanceref OffsetsDsGen_69__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12574          (portref Q (instanceref OffsetsDsGen_69__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12575          )
12576         )
12577         (net (rename OffsetsDsGen_6__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[6].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12578          (portref D (instanceref OffsetsDsGen_6__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12579          (portref Q (instanceref OffsetsDsGen_6__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12580          )
12581         )
12582         (net (rename OffsetsDsGen_70__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[70].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12583          (portref D (instanceref OffsetsDsGen_70__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12584          (portref Q (instanceref OffsetsDsGen_70__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12585          )
12586         )
12587         (net (rename OffsetsDsGen_71__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[71].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12588          (portref D (instanceref OffsetsDsGen_71__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12589          (portref Q (instanceref OffsetsDsGen_71__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12590          )
12591         )
12592         (net (rename OffsetsDsGen_72__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[72].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12593          (portref D (instanceref OffsetsDsGen_72__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12594          (portref Q (instanceref OffsetsDsGen_72__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12595          )
12596         )
12597         (net (rename OffsetsDsGen_73__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[73].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12598          (portref D (instanceref OffsetsDsGen_73__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12599          (portref Q (instanceref OffsetsDsGen_73__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12600          )
12601         )
12602         (net (rename OffsetsDsGen_74__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[74].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12603          (portref D (instanceref OffsetsDsGen_74__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12604          (portref Q (instanceref OffsetsDsGen_74__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12605          )
12606         )
12607         (net (rename OffsetsDsGen_75__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[75].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12608          (portref D (instanceref OffsetsDsGen_75__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12609          (portref Q (instanceref OffsetsDsGen_75__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12610          )
12611         )
12612         (net (rename OffsetsDsGen_76__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[76].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12613          (portref D (instanceref OffsetsDsGen_76__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12614          (portref Q (instanceref OffsetsDsGen_76__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12615          )
12616         )
12617         (net (rename OffsetsDsGen_77__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[77].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12618          (portref D (instanceref OffsetsDsGen_77__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12619          (portref Q (instanceref OffsetsDsGen_77__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12620          )
12621         )
12622         (net (rename OffsetsDsGen_78__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[78].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12623          (portref D (instanceref OffsetsDsGen_78__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12624          (portref Q (instanceref OffsetsDsGen_78__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12625          )
12626         )
12627         (net (rename OffsetsDsGen_79__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[79].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12628          (portref D (instanceref OffsetsDsGen_79__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12629          (portref Q (instanceref OffsetsDsGen_79__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12630          )
12631         )
12632         (net (rename OffsetsDsGen_7__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[7].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12633          (portref D (instanceref OffsetsDsGen_7__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12634          (portref Q (instanceref OffsetsDsGen_7__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12635          )
12636         )
12637         (net (rename OffsetsDsGen_8__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[8].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12638          (portref D (instanceref OffsetsDsGen_8__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12639          (portref Q (instanceref OffsetsDsGen_8__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12640          )
12641         )
12642         (net (rename OffsetsDsGen_9__OffsetsDs_DoubleSyncAsyncInBasex_oSig_ms "OffsetsDsGen[9].OffsetsDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12643          (portref D (instanceref OffsetsDsGen_9__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12644          (portref Q (instanceref OffsetsDsGen_9__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12645          )
12646         )
12647         (net (rename OffsetsValidDs_DoubleSyncSlAsyncInx_DoubleSyncAsyncInBasex_oSig_ms "OffsetsValidDs/DoubleSyncSlAsyncInx/DoubleSyncAsyncInBasex/oSig_ms") (joined
12648          (portref D (instanceref OffsetsValidDs_DoubleSyncSlAsyncInx_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12649          (portref Q (instanceref OffsetsValidDs_DoubleSyncSlAsyncInx_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12650          )
12651         )
12652         (net (rename PpsCapturedDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_ms "PpsCapturedDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSig_ms") (joined
12653          (portref D (instanceref PpsCapturedDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12654          (portref Q (instanceref PpsCapturedDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12655          )
12656         )
12657         (net (rename PpsCapturedDs_DoubleSyncBasex_iDlySig "PpsCapturedDs/DoubleSyncBasex/iDlySig") (joined
12658          (portref D (instanceref PpsCapturedDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12659          (portref Q (instanceref PpsCapturedDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
12660          )
12661
12662           (property RTL_KEEP (string "true"))
12663         )
12664         (net (rename PpsCrossEnDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_ms "PpsCrossEnDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSig_ms") (joined
12665          (portref D (instanceref PpsCrossEnDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12666          (portref Q (instanceref PpsCrossEnDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12667          )
12668         )
12669         (net (rename PpsCrossEnDs_DoubleSyncBasex_iDlySig "PpsCrossEnDs/DoubleSyncBasex/iDlySig") (joined
12670          (portref D (instanceref PpsCrossEnDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12671          (portref Q (instanceref PpsCrossEnDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
12672          )
12673
12674           (property RTL_KEEP (string "true"))
12675         )
12676         (net (rename PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_n_0__0_ "PpsDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg_n_0_[0]") (joined
12677          (portref D (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
12678          (portref Q (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_0_))
12679          )
12680         )
12681         (net (rename PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_n_0__1_ "PpsDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg_n_0_[1]") (joined
12682          (portref D (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
12683          (portref Q (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_1_))
12684          )
12685         )
12686         (net (rename PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_n_0__2_ "PpsDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg_n_0_[2]") (joined
12687          (portref D (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
12688          (portref Q (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_2_))
12689          )
12690         )
12691         (net (rename PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_n_0__3_ "PpsDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg_n_0_[3]") (joined
12692          (portref D (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
12693          (portref Q (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_3_))
12694          )
12695         )
12696         (net (rename PpsDelayValCrossingHs_HBx_iDlyPush "PpsDelayValCrossingHs/HBx/iDlyPush") (joined
12697          (portref I1 (instanceref Gen0_FDCEx_i_1__9))
12698          (portref Q (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iDlyPush_reg))
12699          )
12700         )
12701         (net (rename PpsDelayValCrossingHs_HBx_iPushToggle "PpsDelayValCrossingHs/HBx/iPushToggle") (joined
12702          (portref D (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx))
12703          (portref I0 (instanceref Gen0_FDCEx_i_2))
12704          (portref Q (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx))
12705          )
12706         )
12707         (net (rename PpsDelayValCrossingHs_HBx_iPushToggleNx "PpsDelayValCrossingHs/HBx/iPushToggleNx") (joined
12708          (portref D (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx))
12709          (portref O (instanceref Gen0_FDCEx_i_2))
12710          )
12711         )
12712         (net (rename PpsDelayValCrossingHs_HBx_oPushToggle0_ms "PpsDelayValCrossingHs/HBx/oPushToggle0_ms") (joined
12713          (portref D (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx))
12714          (portref Q (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx))
12715          )
12716         )
12717         (net (rename PpsDelayValCrossingHs_HBx_oPushToggle1 "PpsDelayValCrossingHs/HBx/oPushToggle1") (joined
12718          (portref D (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle2_reg))
12719          (portref I0 (instanceref Gen0_FDCEx_i_1__5))
12720          (portref Q (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx))
12721          )
12722         )
12723         (net (rename PpsDelayValCrossingHs_HBx_oPushToggleChanged "PpsDelayValCrossingHs/HBx/oPushToggleChanged") (joined
12724          (portref CE (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
12725          (portref CE (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
12726          (portref CE (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
12727          (portref CE (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
12728          (portref D (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oDataValid_reg))
12729          (portref O (instanceref Gen0_FDCEx_i_1__5))
12730          )
12731         )
12732         (net (rename PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_n_0__0_ "PulserEnableDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg_n_0_[0]") (joined
12733          (portref D (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
12734          (portref Q (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_0_))
12735          )
12736         )
12737         (net (rename PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_n_0__1_ "PulserEnableDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg_n_0_[1]") (joined
12738          (portref D (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
12739          (portref Q (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_1_))
12740          )
12741         )
12742         (net (rename PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_n_0__2_ "PulserEnableDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg_n_0_[2]") (joined
12743          (portref D (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
12744          (portref Q (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_2_))
12745          )
12746         )
12747         (net (rename PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_n_0__3_ "PulserEnableDelayValCrossingHs/HBx/BlkIn.iLclStoredData_reg_n_0_[3]") (joined
12748          (portref D (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
12749          (portref Q (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_3_))
12750          )
12751         )
12752         (net (rename PulserEnableDelayValCrossingHs_HBx_BlkOut_oDataValid_reg_n_0 "PulserEnableDelayValCrossingHs/HBx/BlkOut.oDataValid_reg_n_0") (joined
12753          (portref CE (instanceref rPulserEnableDelayVal_reg_0_))
12754          (portref CE (instanceref rPulserEnableDelayVal_reg_1_))
12755          (portref CE (instanceref rPulserEnableDelayVal_reg_2_))
12756          (portref CE (instanceref rPulserEnableDelayVal_reg_3_))
12757          (portref Q (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oDataValid_reg))
12758          )
12759         )
12760         (net (rename PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle2_reg_n_0 "PulserEnableDelayValCrossingHs/HBx/BlkOut.oPushToggle2_reg_n_0") (joined
12761          (portref I1 (instanceref Gen0_FDCEx_i_1__6))
12762          (portref Q (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle2_reg))
12763          )
12764         )
12765         (net (rename PulserEnableDelayValCrossingHs_HBx_iDlyPush "PulserEnableDelayValCrossingHs/HBx/iDlyPush") (joined
12766          (portref I1 (instanceref Gen0_FDCEx_i_1__10))
12767          (portref Q (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iDlyPush_reg))
12768          )
12769         )
12770         (net (rename PulserEnableDelayValCrossingHs_HBx_iPushToggle "PulserEnableDelayValCrossingHs/HBx/iPushToggle") (joined
12771          (portref D (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx))
12772          (portref I0 (instanceref Gen0_FDCEx_i_2__0))
12773          (portref Q (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx))
12774          )
12775         )
12776         (net (rename PulserEnableDelayValCrossingHs_HBx_iPushToggleNx "PulserEnableDelayValCrossingHs/HBx/iPushToggleNx") (joined
12777          (portref D (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx))
12778          (portref O (instanceref Gen0_FDCEx_i_2__0))
12779          )
12780         )
12781         (net (rename PulserEnableDelayValCrossingHs_HBx_oPushToggle0_ms "PulserEnableDelayValCrossingHs/HBx/oPushToggle0_ms") (joined
12782          (portref D (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx))
12783          (portref Q (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx))
12784          )
12785         )
12786         (net (rename PulserEnableDelayValCrossingHs_HBx_oPushToggle1 "PulserEnableDelayValCrossingHs/HBx/oPushToggle1") (joined
12787          (portref D (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle2_reg))
12788          (portref I0 (instanceref Gen0_FDCEx_i_1__6))
12789          (portref Q (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx))
12790          )
12791         )
12792         (net (rename PulserEnableDelayValCrossingHs_HBx_oPushToggleChanged "PulserEnableDelayValCrossingHs/HBx/oPushToggleChanged") (joined
12793          (portref CE (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
12794          (portref CE (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
12795          (portref CE (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
12796          (portref CE (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
12797          (portref D (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oDataValid_reg))
12798          (portref O (instanceref Gen0_FDCEx_i_1__6))
12799          )
12800         )
12801         (net (rename RePulse1CntHs_BlkIn_iDlyPush_reg_n_0 "RePulse1CntHs/BlkIn.iDlyPush_reg_n_0") (joined
12802          (portref I0 (instanceref Gen0_FDCEx_i_1__2))
12803          (portref Q (instanceref RePulse1CntHs_BlkIn_iDlyPush_reg))
12804          )
12805         )
12806         (net (rename RePulse1CntHs_BlkOut_oPushToggle2_reg_n_0 "RePulse1CntHs/BlkOut.oPushToggle2_reg_n_0") (joined
12807          (portref I1 (instanceref Gen0_FDCEx_i_1__8))
12808          (portref Q (instanceref RePulse1CntHs_BlkOut_oPushToggle2_reg))
12809          )
12810         )
12811         (net (rename RePulse1CntHs_iPushPulse "RePulse1CntHs/iPushPulse") (joined
12812          (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_0_))
12813          (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_10_))
12814          (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_11_))
12815          (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_12_))
12816          (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_13_))
12817          (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_14_))
12818          (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_15_))
12819          (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_16_))
12820          (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_17_))
12821          (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_18_))
12822          (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_19_))
12823          (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_1_))
12824          (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_20_))
12825          (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_21_))
12826          (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_22_))
12827          (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_23_))
12828          (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_24_))
12829          (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_25_))
12830          (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_26_))
12831          (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_27_))
12832          (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_28_))
12833          (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_29_))
12834          (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_2_))
12835          (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_30_))
12836          (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_31_))
12837          (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_3_))
12838          (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_4_))
12839          (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_5_))
12840          (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_6_))
12841          (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_7_))
12842          (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_8_))
12843          (portref CE (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_9_))
12844          (portref CE (instanceref RePulse1CntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
12845          (portref O (instanceref Gen0_FDCEx_i_1__2))
12846          )
12847         )
12848         (net (rename RePulse1CntHs_iPushToggle "RePulse1CntHs/iPushToggle") (joined
12849          (portref D (instanceref RePulse1CntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
12850          (portref I0 (instanceref Gen0_FDCEx_i_2__4))
12851          (portref Q (instanceref RePulse1CntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
12852          )
12853         )
12854         (net (rename RePulse1CntHs_iPushToggleNx "RePulse1CntHs/iPushToggleNx") (joined
12855          (portref D (instanceref RePulse1CntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
12856          (portref O (instanceref Gen0_FDCEx_i_2__4))
12857          )
12858         )
12859         (net (rename RePulse1CntHs_oPushToggle0_ms "RePulse1CntHs/oPushToggle0_ms") (joined
12860          (portref D (instanceref RePulse1CntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
12861          (portref Q (instanceref RePulse1CntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
12862          )
12863         )
12864         (net (rename RePulse1CntHs_oPushToggle1 "RePulse1CntHs/oPushToggle1") (joined
12865          (portref D (instanceref RePulse1CntHs_BlkOut_oPushToggle2_reg))
12866          (portref I0 (instanceref Gen0_FDCEx_i_1__8))
12867          (portref Q (instanceref RePulse1CntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
12868          )
12869         )
12870         (net (rename RePulse1CntHs_oPushToggleChanged "RePulse1CntHs/oPushToggleChanged") (joined
12871          (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
12872          (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
12873          (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
12874          (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
12875          (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
12876          (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
12877          (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
12878          (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
12879          (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
12880          (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
12881          (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
12882          (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
12883          (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
12884          (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
12885          (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
12886          (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
12887          (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
12888          (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
12889          (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
12890          (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
12891          (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
12892          (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
12893          (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
12894          (portref CE (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
12895          (portref O (instanceref Gen0_FDCEx_i_1__8))
12896          )
12897         )
12898         (net (rename RePulse2CntHs_BlkIn_iDlyPush_reg_n_0 "RePulse2CntHs/BlkIn.iDlyPush_reg_n_0") (joined
12899          (portref I0 (instanceref Gen0_FDCEx_i_1__1))
12900          (portref Q (instanceref RePulse2CntHs_BlkIn_iDlyPush_reg))
12901          )
12902         )
12903         (net (rename RePulse2CntHs_BlkOut_oPushToggle2_reg_n_0 "RePulse2CntHs/BlkOut.oPushToggle2_reg_n_0") (joined
12904          (portref I1 (instanceref BlkOut_oDataValid_i_1__1))
12905          (portref Q (instanceref RePulse2CntHs_BlkOut_oPushToggle2_reg))
12906          )
12907         )
12908         (net (rename RePulse2CntHs_iPushPulse "RePulse2CntHs/iPushPulse") (joined
12909          (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_0_))
12910          (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_10_))
12911          (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_11_))
12912          (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_12_))
12913          (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_13_))
12914          (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_14_))
12915          (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_15_))
12916          (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_16_))
12917          (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_17_))
12918          (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_18_))
12919          (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_19_))
12920          (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_1_))
12921          (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_20_))
12922          (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_21_))
12923          (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_22_))
12924          (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_23_))
12925          (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_24_))
12926          (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_25_))
12927          (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_26_))
12928          (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_27_))
12929          (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_28_))
12930          (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_29_))
12931          (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_2_))
12932          (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_30_))
12933          (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_31_))
12934          (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_3_))
12935          (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_4_))
12936          (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_5_))
12937          (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_6_))
12938          (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_7_))
12939          (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_8_))
12940          (portref CE (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_9_))
12941          (portref CE (instanceref RePulse2CntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
12942          (portref O (instanceref Gen0_FDCEx_i_1__1))
12943          )
12944         )
12945         (net (rename RePulse2CntHs_iPushToggle "RePulse2CntHs/iPushToggle") (joined
12946          (portref D (instanceref RePulse2CntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
12947          (portref I0 (instanceref Gen0_FDCEx_i_2__5))
12948          (portref Q (instanceref RePulse2CntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
12949          )
12950         )
12951         (net (rename RePulse2CntHs_iPushToggleNx "RePulse2CntHs/iPushToggleNx") (joined
12952          (portref D (instanceref RePulse2CntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
12953          (portref O (instanceref Gen0_FDCEx_i_2__5))
12954          )
12955         )
12956         (net (rename RePulse2CntHs_oPushToggle0_ms "RePulse2CntHs/oPushToggle0_ms") (joined
12957          (portref D (instanceref RePulse2CntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
12958          (portref Q (instanceref RePulse2CntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
12959          )
12960         )
12961         (net (rename RePulse2CntHs_oPushToggle1 "RePulse2CntHs/oPushToggle1") (joined
12962          (portref D (instanceref RePulse2CntHs_BlkOut_oPushToggle2_reg))
12963          (portref I0 (instanceref BlkOut_oDataValid_i_1__1))
12964          (portref Q (instanceref RePulse2CntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
12965          )
12966         )
12967         (net (rename RePulse2CntHs_oPushToggleChanged "RePulse2CntHs/oPushToggleChanged") (joined
12968          (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
12969          (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
12970          (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
12971          (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
12972          (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
12973          (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
12974          (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
12975          (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
12976          (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
12977          (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
12978          (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
12979          (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
12980          (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
12981          (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
12982          (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
12983          (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
12984          (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
12985          (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
12986          (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
12987          (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
12988          (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
12989          (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
12990          (portref CE (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
12991          (portref D (instanceref RePulse2CntHs_BlkOut_oDataValid_reg))
12992          (portref O (instanceref BlkOut_oDataValid_i_1__1))
12993          )
12994         )
12995         (net (rename RePulseCnt1ReadbackDsGen_0__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[0].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
12996          (portref D (instanceref RePulseCnt1ReadbackDsGen_0__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
12997          (portref Q (instanceref RePulseCnt1ReadbackDsGen_0__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
12998          )
12999         )
13000         (net (rename RePulseCnt1ReadbackDsGen_10__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[10].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13001          (portref D (instanceref RePulseCnt1ReadbackDsGen_10__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13002          (portref Q (instanceref RePulseCnt1ReadbackDsGen_10__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13003          )
13004         )
13005         (net (rename RePulseCnt1ReadbackDsGen_11__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[11].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13006          (portref D (instanceref RePulseCnt1ReadbackDsGen_11__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13007          (portref Q (instanceref RePulseCnt1ReadbackDsGen_11__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13008          )
13009         )
13010         (net (rename RePulseCnt1ReadbackDsGen_12__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[12].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13011          (portref D (instanceref RePulseCnt1ReadbackDsGen_12__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13012          (portref Q (instanceref RePulseCnt1ReadbackDsGen_12__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13013          )
13014         )
13015         (net (rename RePulseCnt1ReadbackDsGen_13__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[13].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13016          (portref D (instanceref RePulseCnt1ReadbackDsGen_13__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13017          (portref Q (instanceref RePulseCnt1ReadbackDsGen_13__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13018          )
13019         )
13020         (net (rename RePulseCnt1ReadbackDsGen_14__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[14].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13021          (portref D (instanceref RePulseCnt1ReadbackDsGen_14__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13022          (portref Q (instanceref RePulseCnt1ReadbackDsGen_14__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13023          )
13024         )
13025         (net (rename RePulseCnt1ReadbackDsGen_15__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[15].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13026          (portref D (instanceref RePulseCnt1ReadbackDsGen_15__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13027          (portref Q (instanceref RePulseCnt1ReadbackDsGen_15__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13028          )
13029         )
13030         (net (rename RePulseCnt1ReadbackDsGen_16__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[16].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13031          (portref D (instanceref RePulseCnt1ReadbackDsGen_16__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13032          (portref Q (instanceref RePulseCnt1ReadbackDsGen_16__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13033          )
13034         )
13035         (net (rename RePulseCnt1ReadbackDsGen_17__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[17].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13036          (portref D (instanceref RePulseCnt1ReadbackDsGen_17__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13037          (portref Q (instanceref RePulseCnt1ReadbackDsGen_17__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13038          )
13039         )
13040         (net (rename RePulseCnt1ReadbackDsGen_18__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[18].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13041          (portref D (instanceref RePulseCnt1ReadbackDsGen_18__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13042          (portref Q (instanceref RePulseCnt1ReadbackDsGen_18__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13043          )
13044         )
13045         (net (rename RePulseCnt1ReadbackDsGen_19__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[19].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13046          (portref D (instanceref RePulseCnt1ReadbackDsGen_19__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13047          (portref Q (instanceref RePulseCnt1ReadbackDsGen_19__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13048          )
13049         )
13050         (net (rename RePulseCnt1ReadbackDsGen_1__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[1].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13051          (portref D (instanceref RePulseCnt1ReadbackDsGen_1__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13052          (portref Q (instanceref RePulseCnt1ReadbackDsGen_1__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13053          )
13054         )
13055         (net (rename RePulseCnt1ReadbackDsGen_20__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[20].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13056          (portref D (instanceref RePulseCnt1ReadbackDsGen_20__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13057          (portref Q (instanceref RePulseCnt1ReadbackDsGen_20__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13058          )
13059         )
13060         (net (rename RePulseCnt1ReadbackDsGen_21__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[21].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13061          (portref D (instanceref RePulseCnt1ReadbackDsGen_21__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13062          (portref Q (instanceref RePulseCnt1ReadbackDsGen_21__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13063          )
13064         )
13065         (net (rename RePulseCnt1ReadbackDsGen_22__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[22].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13066          (portref D (instanceref RePulseCnt1ReadbackDsGen_22__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13067          (portref Q (instanceref RePulseCnt1ReadbackDsGen_22__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13068          )
13069         )
13070         (net (rename RePulseCnt1ReadbackDsGen_23__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[23].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13071          (portref D (instanceref RePulseCnt1ReadbackDsGen_23__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13072          (portref Q (instanceref RePulseCnt1ReadbackDsGen_23__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13073          )
13074         )
13075         (net (rename RePulseCnt1ReadbackDsGen_24__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[24].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13076          (portref D (instanceref RePulseCnt1ReadbackDsGen_24__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13077          (portref Q (instanceref RePulseCnt1ReadbackDsGen_24__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13078          )
13079         )
13080         (net (rename RePulseCnt1ReadbackDsGen_25__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[25].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13081          (portref D (instanceref RePulseCnt1ReadbackDsGen_25__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13082          (portref Q (instanceref RePulseCnt1ReadbackDsGen_25__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13083          )
13084         )
13085         (net (rename RePulseCnt1ReadbackDsGen_26__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[26].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13086          (portref D (instanceref RePulseCnt1ReadbackDsGen_26__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13087          (portref Q (instanceref RePulseCnt1ReadbackDsGen_26__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13088          )
13089         )
13090         (net (rename RePulseCnt1ReadbackDsGen_27__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[27].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13091          (portref D (instanceref RePulseCnt1ReadbackDsGen_27__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13092          (portref Q (instanceref RePulseCnt1ReadbackDsGen_27__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13093          )
13094         )
13095         (net (rename RePulseCnt1ReadbackDsGen_28__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[28].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13096          (portref D (instanceref RePulseCnt1ReadbackDsGen_28__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13097          (portref Q (instanceref RePulseCnt1ReadbackDsGen_28__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13098          )
13099         )
13100         (net (rename RePulseCnt1ReadbackDsGen_29__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[29].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13101          (portref D (instanceref RePulseCnt1ReadbackDsGen_29__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13102          (portref Q (instanceref RePulseCnt1ReadbackDsGen_29__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13103          )
13104         )
13105         (net (rename RePulseCnt1ReadbackDsGen_2__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[2].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13106          (portref D (instanceref RePulseCnt1ReadbackDsGen_2__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13107          (portref Q (instanceref RePulseCnt1ReadbackDsGen_2__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13108          )
13109         )
13110         (net (rename RePulseCnt1ReadbackDsGen_30__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[30].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13111          (portref D (instanceref RePulseCnt1ReadbackDsGen_30__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13112          (portref Q (instanceref RePulseCnt1ReadbackDsGen_30__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13113          )
13114         )
13115         (net (rename RePulseCnt1ReadbackDsGen_31__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[31].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13116          (portref D (instanceref RePulseCnt1ReadbackDsGen_31__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13117          (portref Q (instanceref RePulseCnt1ReadbackDsGen_31__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13118          )
13119         )
13120         (net (rename RePulseCnt1ReadbackDsGen_3__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[3].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13121          (portref D (instanceref RePulseCnt1ReadbackDsGen_3__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13122          (portref Q (instanceref RePulseCnt1ReadbackDsGen_3__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13123          )
13124         )
13125         (net (rename RePulseCnt1ReadbackDsGen_4__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[4].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13126          (portref D (instanceref RePulseCnt1ReadbackDsGen_4__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13127          (portref Q (instanceref RePulseCnt1ReadbackDsGen_4__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13128          )
13129         )
13130         (net (rename RePulseCnt1ReadbackDsGen_5__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[5].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13131          (portref D (instanceref RePulseCnt1ReadbackDsGen_5__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13132          (portref Q (instanceref RePulseCnt1ReadbackDsGen_5__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13133          )
13134         )
13135         (net (rename RePulseCnt1ReadbackDsGen_6__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[6].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13136          (portref D (instanceref RePulseCnt1ReadbackDsGen_6__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13137          (portref Q (instanceref RePulseCnt1ReadbackDsGen_6__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13138          )
13139         )
13140         (net (rename RePulseCnt1ReadbackDsGen_7__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[7].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13141          (portref D (instanceref RePulseCnt1ReadbackDsGen_7__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13142          (portref Q (instanceref RePulseCnt1ReadbackDsGen_7__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13143          )
13144         )
13145         (net (rename RePulseCnt1ReadbackDsGen_8__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[8].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13146          (portref D (instanceref RePulseCnt1ReadbackDsGen_8__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13147          (portref Q (instanceref RePulseCnt1ReadbackDsGen_8__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13148          )
13149         )
13150         (net (rename RePulseCnt1ReadbackDsGen_9__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt1ReadbackDsGen[9].RePulse1ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13151          (portref D (instanceref RePulseCnt1ReadbackDsGen_9__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13152          (portref Q (instanceref RePulseCnt1ReadbackDsGen_9__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13153          )
13154         )
13155         (net (rename RePulseCnt2ReadbackDsGen_0__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[0].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13156          (portref D (instanceref RePulseCnt2ReadbackDsGen_0__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13157          (portref Q (instanceref RePulseCnt2ReadbackDsGen_0__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13158          )
13159         )
13160         (net (rename RePulseCnt2ReadbackDsGen_10__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[10].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13161          (portref D (instanceref RePulseCnt2ReadbackDsGen_10__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13162          (portref Q (instanceref RePulseCnt2ReadbackDsGen_10__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13163          )
13164         )
13165         (net (rename RePulseCnt2ReadbackDsGen_11__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[11].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13166          (portref D (instanceref RePulseCnt2ReadbackDsGen_11__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13167          (portref Q (instanceref RePulseCnt2ReadbackDsGen_11__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13168          )
13169         )
13170         (net (rename RePulseCnt2ReadbackDsGen_12__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[12].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13171          (portref D (instanceref RePulseCnt2ReadbackDsGen_12__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13172          (portref Q (instanceref RePulseCnt2ReadbackDsGen_12__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13173          )
13174         )
13175         (net (rename RePulseCnt2ReadbackDsGen_13__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[13].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13176          (portref D (instanceref RePulseCnt2ReadbackDsGen_13__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13177          (portref Q (instanceref RePulseCnt2ReadbackDsGen_13__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13178          )
13179         )
13180         (net (rename RePulseCnt2ReadbackDsGen_14__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[14].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13181          (portref D (instanceref RePulseCnt2ReadbackDsGen_14__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13182          (portref Q (instanceref RePulseCnt2ReadbackDsGen_14__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13183          )
13184         )
13185         (net (rename RePulseCnt2ReadbackDsGen_15__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[15].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13186          (portref D (instanceref RePulseCnt2ReadbackDsGen_15__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13187          (portref Q (instanceref RePulseCnt2ReadbackDsGen_15__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13188          )
13189         )
13190         (net (rename RePulseCnt2ReadbackDsGen_16__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[16].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13191          (portref D (instanceref RePulseCnt2ReadbackDsGen_16__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13192          (portref Q (instanceref RePulseCnt2ReadbackDsGen_16__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13193          )
13194         )
13195         (net (rename RePulseCnt2ReadbackDsGen_17__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[17].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13196          (portref D (instanceref RePulseCnt2ReadbackDsGen_17__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13197          (portref Q (instanceref RePulseCnt2ReadbackDsGen_17__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13198          )
13199         )
13200         (net (rename RePulseCnt2ReadbackDsGen_18__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[18].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13201          (portref D (instanceref RePulseCnt2ReadbackDsGen_18__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13202          (portref Q (instanceref RePulseCnt2ReadbackDsGen_18__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13203          )
13204         )
13205         (net (rename RePulseCnt2ReadbackDsGen_19__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[19].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13206          (portref D (instanceref RePulseCnt2ReadbackDsGen_19__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13207          (portref Q (instanceref RePulseCnt2ReadbackDsGen_19__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13208          )
13209         )
13210         (net (rename RePulseCnt2ReadbackDsGen_1__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[1].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13211          (portref D (instanceref RePulseCnt2ReadbackDsGen_1__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13212          (portref Q (instanceref RePulseCnt2ReadbackDsGen_1__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13213          )
13214         )
13215         (net (rename RePulseCnt2ReadbackDsGen_20__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[20].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13216          (portref D (instanceref RePulseCnt2ReadbackDsGen_20__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13217          (portref Q (instanceref RePulseCnt2ReadbackDsGen_20__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13218          )
13219         )
13220         (net (rename RePulseCnt2ReadbackDsGen_21__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[21].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13221          (portref D (instanceref RePulseCnt2ReadbackDsGen_21__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13222          (portref Q (instanceref RePulseCnt2ReadbackDsGen_21__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13223          )
13224         )
13225         (net (rename RePulseCnt2ReadbackDsGen_22__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[22].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13226          (portref D (instanceref RePulseCnt2ReadbackDsGen_22__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13227          (portref Q (instanceref RePulseCnt2ReadbackDsGen_22__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13228          )
13229         )
13230         (net (rename RePulseCnt2ReadbackDsGen_23__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[23].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13231          (portref D (instanceref RePulseCnt2ReadbackDsGen_23__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13232          (portref Q (instanceref RePulseCnt2ReadbackDsGen_23__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13233          )
13234         )
13235         (net (rename RePulseCnt2ReadbackDsGen_24__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[24].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13236          (portref D (instanceref RePulseCnt2ReadbackDsGen_24__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13237          (portref Q (instanceref RePulseCnt2ReadbackDsGen_24__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13238          )
13239         )
13240         (net (rename RePulseCnt2ReadbackDsGen_25__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[25].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13241          (portref D (instanceref RePulseCnt2ReadbackDsGen_25__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13242          (portref Q (instanceref RePulseCnt2ReadbackDsGen_25__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13243          )
13244         )
13245         (net (rename RePulseCnt2ReadbackDsGen_26__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[26].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13246          (portref D (instanceref RePulseCnt2ReadbackDsGen_26__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13247          (portref Q (instanceref RePulseCnt2ReadbackDsGen_26__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13248          )
13249         )
13250         (net (rename RePulseCnt2ReadbackDsGen_27__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[27].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13251          (portref D (instanceref RePulseCnt2ReadbackDsGen_27__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13252          (portref Q (instanceref RePulseCnt2ReadbackDsGen_27__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13253          )
13254         )
13255         (net (rename RePulseCnt2ReadbackDsGen_28__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[28].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13256          (portref D (instanceref RePulseCnt2ReadbackDsGen_28__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13257          (portref Q (instanceref RePulseCnt2ReadbackDsGen_28__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13258          )
13259         )
13260         (net (rename RePulseCnt2ReadbackDsGen_29__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[29].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13261          (portref D (instanceref RePulseCnt2ReadbackDsGen_29__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13262          (portref Q (instanceref RePulseCnt2ReadbackDsGen_29__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13263          )
13264         )
13265         (net (rename RePulseCnt2ReadbackDsGen_2__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[2].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13266          (portref D (instanceref RePulseCnt2ReadbackDsGen_2__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13267          (portref Q (instanceref RePulseCnt2ReadbackDsGen_2__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13268          )
13269         )
13270         (net (rename RePulseCnt2ReadbackDsGen_30__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[30].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13271          (portref D (instanceref RePulseCnt2ReadbackDsGen_30__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13272          (portref Q (instanceref RePulseCnt2ReadbackDsGen_30__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13273          )
13274         )
13275         (net (rename RePulseCnt2ReadbackDsGen_31__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[31].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13276          (portref D (instanceref RePulseCnt2ReadbackDsGen_31__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13277          (portref Q (instanceref RePulseCnt2ReadbackDsGen_31__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13278          )
13279         )
13280         (net (rename RePulseCnt2ReadbackDsGen_3__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[3].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13281          (portref D (instanceref RePulseCnt2ReadbackDsGen_3__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13282          (portref Q (instanceref RePulseCnt2ReadbackDsGen_3__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13283          )
13284         )
13285         (net (rename RePulseCnt2ReadbackDsGen_4__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[4].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13286          (portref D (instanceref RePulseCnt2ReadbackDsGen_4__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13287          (portref Q (instanceref RePulseCnt2ReadbackDsGen_4__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13288          )
13289         )
13290         (net (rename RePulseCnt2ReadbackDsGen_5__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[5].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13291          (portref D (instanceref RePulseCnt2ReadbackDsGen_5__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13292          (portref Q (instanceref RePulseCnt2ReadbackDsGen_5__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13293          )
13294         )
13295         (net (rename RePulseCnt2ReadbackDsGen_6__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[6].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13296          (portref D (instanceref RePulseCnt2ReadbackDsGen_6__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13297          (portref Q (instanceref RePulseCnt2ReadbackDsGen_6__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13298          )
13299         )
13300         (net (rename RePulseCnt2ReadbackDsGen_7__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[7].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13301          (portref D (instanceref RePulseCnt2ReadbackDsGen_7__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13302          (portref Q (instanceref RePulseCnt2ReadbackDsGen_7__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13303          )
13304         )
13305         (net (rename RePulseCnt2ReadbackDsGen_8__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[8].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13306          (portref D (instanceref RePulseCnt2ReadbackDsGen_8__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13307          (portref Q (instanceref RePulseCnt2ReadbackDsGen_8__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13308          )
13309         )
13310         (net (rename RePulseCnt2ReadbackDsGen_9__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RePulseCnt2ReadbackDsGen[9].RePulse2ReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13311          (portref D (instanceref RePulseCnt2ReadbackDsGen_9__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13312          (portref Q (instanceref RePulseCnt2ReadbackDsGen_9__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13313          )
13314         )
13315         (net (rename ReRunEnableDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_ms "ReRunEnableDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSig_ms") (joined
13316          (portref D (instanceref ReRunEnableDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13317          (portref Q (instanceref ReRunEnableDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13318          )
13319         )
13320         (net (rename ReRunEnableDs_DoubleSyncBasex_iDlySig "ReRunEnableDs/DoubleSyncBasex/iDlySig") (joined
13321          (portref D (instanceref ReRunEnableDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13322          (portref Q (instanceref ReRunEnableDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
13323          )
13324
13325           (property RTL_KEEP (string "true"))
13326         )
13327         (net RefClk (joined
13328          (portref C (instanceref EnableTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13329          (portref C (instanceref EnableTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13330          (portref C (instanceref PpsCapturedDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
13331          (portref C (instanceref PpsCrossEnDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13332          (portref C (instanceref PpsCrossEnDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13333          (portref C (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
13334          (portref C (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
13335          (portref C (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
13336          (portref C (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
13337          (portref C (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oDataValid_reg))
13338          (portref C (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx))
13339          (portref C (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle2_reg))
13340          (portref C (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx))
13341          (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
13342          (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
13343          (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
13344          (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
13345          (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
13346          (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
13347          (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
13348          (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
13349          (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
13350          (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
13351          (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
13352          (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
13353          (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
13354          (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
13355          (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
13356          (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
13357          (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
13358          (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
13359          (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
13360          (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
13361          (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
13362          (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
13363          (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
13364          (portref C (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
13365          (portref C (instanceref RePulse1CntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
13366          (portref C (instanceref RePulse1CntHs_BlkOut_oPushToggle2_reg))
13367          (portref C (instanceref RePulse1CntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
13368          (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
13369          (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
13370          (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
13371          (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
13372          (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
13373          (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
13374          (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
13375          (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
13376          (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
13377          (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
13378          (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
13379          (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
13380          (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
13381          (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
13382          (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
13383          (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
13384          (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
13385          (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
13386          (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
13387          (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
13388          (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
13389          (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
13390          (portref C (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
13391          (portref C (instanceref RePulse2CntHs_BlkOut_oDataValid_reg))
13392          (portref C (instanceref RePulse2CntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
13393          (portref C (instanceref RePulse2CntHs_BlkOut_oPushToggle2_reg))
13394          (portref C (instanceref RePulse2CntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
13395          (portref C (instanceref ReRunEnableDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13396          (portref C (instanceref ReRunEnableDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13397          (portref C (instanceref ResetDoneDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
13398          (portref C (instanceref ResetTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13399          (portref C (instanceref ResetTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13400          (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
13401          (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
13402          (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
13403          (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
13404          (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
13405          (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
13406          (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
13407          (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
13408          (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
13409          (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
13410          (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
13411          (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
13412          (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
13413          (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
13414          (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
13415          (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
13416          (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
13417          (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
13418          (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
13419          (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
13420          (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
13421          (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
13422          (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
13423          (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
13424          (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
13425          (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
13426          (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
13427          (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
13428          (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
13429          (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
13430          (portref C (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
13431          (portref C (instanceref RpCntHs_BlkOut_oDataValid_reg))
13432          (portref C (instanceref RpCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
13433          (portref C (instanceref RpCntHs_BlkOut_oPushToggle2_reg))
13434          (portref C (instanceref RpCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
13435          (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
13436          (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
13437          (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
13438          (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
13439          (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
13440          (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
13441          (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
13442          (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
13443          (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
13444          (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
13445          (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
13446          (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
13447          (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
13448          (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
13449          (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
13450          (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
13451          (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
13452          (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
13453          (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
13454          (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
13455          (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
13456          (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
13457          (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
13458          (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
13459          (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
13460          (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
13461          (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
13462          (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
13463          (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
13464          (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
13465          (portref C (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
13466          (portref C (instanceref RptCntHs_BlkOut_oDataValid_reg))
13467          (portref C (instanceref RptCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
13468          (portref C (instanceref RptCntHs_BlkOut_oPushToggle2_reg))
13469          (portref C (instanceref RptCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
13470          (portref C (instanceref rPulserEnableDelayVal_reg_0_))
13471          (portref C (instanceref rPulserEnableDelayVal_reg_1_))
13472          (portref C (instanceref rPulserEnableDelayVal_reg_2_))
13473          (portref C (instanceref rPulserEnableDelayVal_reg_3_))
13474          (portref RefClk)
13475          )
13476         )
13477         (net RegWrite53_out (joined
13478          (portref D (instanceref SptCntHs_BlkIn_iDlyPush_reg))
13479          (portref O (instanceref BlkIn_iDlyPush_i_1))
13480          )
13481         )
13482         (net RegWrite54_out (joined
13483          (portref D (instanceref RptCntHs_BlkIn_iDlyPush_reg))
13484          (portref O (instanceref BlkIn_iDlyPush_i_1__0))
13485          )
13486         )
13487         (net RegWrite55_out (joined
13488          (portref D (instanceref RePulse2CntHs_BlkIn_iDlyPush_reg))
13489          (portref O (instanceref BlkIn_iDlyPush_i_1__1))
13490          )
13491         )
13492         (net RegWrite56_out (joined
13493          (portref D (instanceref RePulse1CntHs_BlkIn_iDlyPush_reg))
13494          (portref O (instanceref BlkIn_iDlyPush_i_1__2))
13495          )
13496         )
13497         (net RegWrite57_out (joined
13498          (portref D (instanceref SpCntHs_BlkIn_iDlyPush_reg))
13499          (portref O (instanceref BlkIn_iDlyPush_i_1__3))
13500          )
13501         )
13502         (net RegWrite58_out (joined
13503          (portref D (instanceref RpCntHs_BlkIn_iDlyPush_reg))
13504          (portref O (instanceref BlkIn_iDlyPush_i_1__4))
13505          )
13506         )
13507         (net (rename ResetDoneDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_ms "ResetDoneDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSig_ms") (joined
13508          (portref D (instanceref ResetDoneDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13509          (portref Q (instanceref ResetDoneDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13510          )
13511         )
13512         (net (rename ResetDoneDs_DoubleSyncBasex_iDlySig "ResetDoneDs/DoubleSyncBasex/iDlySig") (joined
13513          (portref D (instanceref ResetDoneDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13514          (portref Q (instanceref ResetDoneDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
13515          )
13516
13517           (property RTL_KEEP (string "true"))
13518         )
13519         (net (rename ResetTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_ms "ResetTdcDs/DoubleSyncBasex/DoubleSyncAsyncInBasex/oSig_ms") (joined
13520          (portref D (instanceref ResetTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13521          (portref Q (instanceref ResetTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13522          )
13523         )
13524         (net (rename ResetTdcDs_DoubleSyncBasex_iDlySig "ResetTdcDs/DoubleSyncBasex/iDlySig") (joined
13525          (portref D (instanceref ResetTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13526          (portref Q (instanceref ResetTdcDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
13527          )
13528
13529           (property RTL_KEEP (string "true"))
13530         )
13531         (net (rename RpCntHs_BlkOut_oPushToggle2_reg_n_0 "RpCntHs/BlkOut.oPushToggle2_reg_n_0") (joined
13532          (portref I1 (instanceref BlkOut_oDataValid_i_1))
13533          (portref Q (instanceref RpCntHs_BlkOut_oPushToggle2_reg))
13534          )
13535         )
13536         (net (rename RpCntHs_iPushPulse "RpCntHs/iPushPulse") (joined
13537          (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_0_))
13538          (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_10_))
13539          (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_11_))
13540          (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_12_))
13541          (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_13_))
13542          (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_14_))
13543          (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_15_))
13544          (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_16_))
13545          (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_17_))
13546          (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_18_))
13547          (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_19_))
13548          (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_1_))
13549          (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_20_))
13550          (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_21_))
13551          (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_22_))
13552          (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_23_))
13553          (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_24_))
13554          (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_25_))
13555          (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_26_))
13556          (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_27_))
13557          (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_28_))
13558          (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_29_))
13559          (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_2_))
13560          (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_30_))
13561          (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_31_))
13562          (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_3_))
13563          (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_4_))
13564          (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_5_))
13565          (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_6_))
13566          (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_7_))
13567          (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_8_))
13568          (portref CE (instanceref RpCntHs_BlkIn_iLclStoredData_reg_9_))
13569          (portref CE (instanceref RpCntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
13570          (portref O (instanceref Gen0_FDCEx_i_1__4))
13571          )
13572         )
13573         (net (rename RpCntHs_iPushToggle "RpCntHs/iPushToggle") (joined
13574          (portref D (instanceref RpCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
13575          (portref I0 (instanceref Gen0_FDCEx_i_2__2))
13576          (portref Q (instanceref RpCntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
13577          )
13578         )
13579         (net (rename RpCntHs_iPushToggleNx "RpCntHs/iPushToggleNx") (joined
13580          (portref D (instanceref RpCntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
13581          (portref O (instanceref Gen0_FDCEx_i_2__2))
13582          )
13583         )
13584         (net (rename RpCntHs_oPushToggle0_ms "RpCntHs/oPushToggle0_ms") (joined
13585          (portref D (instanceref RpCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
13586          (portref Q (instanceref RpCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
13587          )
13588         )
13589         (net (rename RpCntHs_oPushToggle1 "RpCntHs/oPushToggle1") (joined
13590          (portref D (instanceref RpCntHs_BlkOut_oPushToggle2_reg))
13591          (portref I0 (instanceref BlkOut_oDataValid_i_1))
13592          (portref Q (instanceref RpCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
13593          )
13594         )
13595         (net (rename RpCntHs_oPushToggleChanged "RpCntHs/oPushToggleChanged") (joined
13596          (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
13597          (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
13598          (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
13599          (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
13600          (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
13601          (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
13602          (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
13603          (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
13604          (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
13605          (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
13606          (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
13607          (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
13608          (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
13609          (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
13610          (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
13611          (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
13612          (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
13613          (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
13614          (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
13615          (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
13616          (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
13617          (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
13618          (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
13619          (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
13620          (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
13621          (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
13622          (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
13623          (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
13624          (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
13625          (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
13626          (portref CE (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
13627          (portref D (instanceref RpCntHs_BlkOut_oDataValid_reg))
13628          (portref O (instanceref BlkOut_oDataValid_i_1))
13629          )
13630         )
13631         (net (rename RpCntReadbackDsGen_0__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[0].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13632          (portref D (instanceref RpCntReadbackDsGen_0__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13633          (portref Q (instanceref RpCntReadbackDsGen_0__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13634          )
13635         )
13636         (net (rename RpCntReadbackDsGen_10__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[10].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13637          (portref D (instanceref RpCntReadbackDsGen_10__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13638          (portref Q (instanceref RpCntReadbackDsGen_10__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13639          )
13640         )
13641         (net (rename RpCntReadbackDsGen_11__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[11].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13642          (portref D (instanceref RpCntReadbackDsGen_11__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13643          (portref Q (instanceref RpCntReadbackDsGen_11__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13644          )
13645         )
13646         (net (rename RpCntReadbackDsGen_12__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[12].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13647          (portref D (instanceref RpCntReadbackDsGen_12__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13648          (portref Q (instanceref RpCntReadbackDsGen_12__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13649          )
13650         )
13651         (net (rename RpCntReadbackDsGen_13__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[13].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13652          (portref D (instanceref RpCntReadbackDsGen_13__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13653          (portref Q (instanceref RpCntReadbackDsGen_13__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13654          )
13655         )
13656         (net (rename RpCntReadbackDsGen_14__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[14].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13657          (portref D (instanceref RpCntReadbackDsGen_14__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13658          (portref Q (instanceref RpCntReadbackDsGen_14__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13659          )
13660         )
13661         (net (rename RpCntReadbackDsGen_15__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[15].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13662          (portref D (instanceref RpCntReadbackDsGen_15__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13663          (portref Q (instanceref RpCntReadbackDsGen_15__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13664          )
13665         )
13666         (net (rename RpCntReadbackDsGen_16__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[16].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13667          (portref D (instanceref RpCntReadbackDsGen_16__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13668          (portref Q (instanceref RpCntReadbackDsGen_16__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13669          )
13670         )
13671         (net (rename RpCntReadbackDsGen_17__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[17].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13672          (portref D (instanceref RpCntReadbackDsGen_17__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13673          (portref Q (instanceref RpCntReadbackDsGen_17__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13674          )
13675         )
13676         (net (rename RpCntReadbackDsGen_18__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[18].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13677          (portref D (instanceref RpCntReadbackDsGen_18__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13678          (portref Q (instanceref RpCntReadbackDsGen_18__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13679          )
13680         )
13681         (net (rename RpCntReadbackDsGen_19__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[19].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13682          (portref D (instanceref RpCntReadbackDsGen_19__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13683          (portref Q (instanceref RpCntReadbackDsGen_19__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13684          )
13685         )
13686         (net (rename RpCntReadbackDsGen_1__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[1].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13687          (portref D (instanceref RpCntReadbackDsGen_1__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13688          (portref Q (instanceref RpCntReadbackDsGen_1__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13689          )
13690         )
13691         (net (rename RpCntReadbackDsGen_20__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[20].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13692          (portref D (instanceref RpCntReadbackDsGen_20__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13693          (portref Q (instanceref RpCntReadbackDsGen_20__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13694          )
13695         )
13696         (net (rename RpCntReadbackDsGen_21__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[21].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13697          (portref D (instanceref RpCntReadbackDsGen_21__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13698          (portref Q (instanceref RpCntReadbackDsGen_21__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13699          )
13700         )
13701         (net (rename RpCntReadbackDsGen_22__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[22].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13702          (portref D (instanceref RpCntReadbackDsGen_22__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13703          (portref Q (instanceref RpCntReadbackDsGen_22__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13704          )
13705         )
13706         (net (rename RpCntReadbackDsGen_23__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[23].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13707          (portref D (instanceref RpCntReadbackDsGen_23__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13708          (portref Q (instanceref RpCntReadbackDsGen_23__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13709          )
13710         )
13711         (net (rename RpCntReadbackDsGen_24__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[24].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13712          (portref D (instanceref RpCntReadbackDsGen_24__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13713          (portref Q (instanceref RpCntReadbackDsGen_24__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13714          )
13715         )
13716         (net (rename RpCntReadbackDsGen_25__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[25].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13717          (portref D (instanceref RpCntReadbackDsGen_25__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13718          (portref Q (instanceref RpCntReadbackDsGen_25__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13719          )
13720         )
13721         (net (rename RpCntReadbackDsGen_26__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[26].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13722          (portref D (instanceref RpCntReadbackDsGen_26__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13723          (portref Q (instanceref RpCntReadbackDsGen_26__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13724          )
13725         )
13726         (net (rename RpCntReadbackDsGen_27__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[27].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13727          (portref D (instanceref RpCntReadbackDsGen_27__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13728          (portref Q (instanceref RpCntReadbackDsGen_27__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13729          )
13730         )
13731         (net (rename RpCntReadbackDsGen_28__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[28].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13732          (portref D (instanceref RpCntReadbackDsGen_28__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13733          (portref Q (instanceref RpCntReadbackDsGen_28__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13734          )
13735         )
13736         (net (rename RpCntReadbackDsGen_29__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[29].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13737          (portref D (instanceref RpCntReadbackDsGen_29__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13738          (portref Q (instanceref RpCntReadbackDsGen_29__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13739          )
13740         )
13741         (net (rename RpCntReadbackDsGen_2__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[2].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13742          (portref D (instanceref RpCntReadbackDsGen_2__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13743          (portref Q (instanceref RpCntReadbackDsGen_2__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13744          )
13745         )
13746         (net (rename RpCntReadbackDsGen_30__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[30].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13747          (portref D (instanceref RpCntReadbackDsGen_30__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13748          (portref Q (instanceref RpCntReadbackDsGen_30__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13749          )
13750         )
13751         (net (rename RpCntReadbackDsGen_31__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[31].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13752          (portref D (instanceref RpCntReadbackDsGen_31__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13753          (portref Q (instanceref RpCntReadbackDsGen_31__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13754          )
13755         )
13756         (net (rename RpCntReadbackDsGen_3__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[3].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13757          (portref D (instanceref RpCntReadbackDsGen_3__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13758          (portref Q (instanceref RpCntReadbackDsGen_3__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13759          )
13760         )
13761         (net (rename RpCntReadbackDsGen_4__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[4].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13762          (portref D (instanceref RpCntReadbackDsGen_4__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13763          (portref Q (instanceref RpCntReadbackDsGen_4__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13764          )
13765         )
13766         (net (rename RpCntReadbackDsGen_5__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[5].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13767          (portref D (instanceref RpCntReadbackDsGen_5__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13768          (portref Q (instanceref RpCntReadbackDsGen_5__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13769          )
13770         )
13771         (net (rename RpCntReadbackDsGen_6__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[6].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13772          (portref D (instanceref RpCntReadbackDsGen_6__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13773          (portref Q (instanceref RpCntReadbackDsGen_6__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13774          )
13775         )
13776         (net (rename RpCntReadbackDsGen_7__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[7].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13777          (portref D (instanceref RpCntReadbackDsGen_7__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13778          (portref Q (instanceref RpCntReadbackDsGen_7__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13779          )
13780         )
13781         (net (rename RpCntReadbackDsGen_8__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[8].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13782          (portref D (instanceref RpCntReadbackDsGen_8__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13783          (portref Q (instanceref RpCntReadbackDsGen_8__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13784          )
13785         )
13786         (net (rename RpCntReadbackDsGen_9__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RpCntReadbackDsGen[9].RpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13787          (portref D (instanceref RpCntReadbackDsGen_9__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13788          (portref Q (instanceref RpCntReadbackDsGen_9__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13789          )
13790         )
13791         (net (rename RptCntHs_BlkIn_iDlyPush_reg_n_0 "RptCntHs/BlkIn.iDlyPush_reg_n_0") (joined
13792          (portref I0 (instanceref Gen0_FDCEx_i_1__0))
13793          (portref Q (instanceref RptCntHs_BlkIn_iDlyPush_reg))
13794          )
13795         )
13796         (net (rename RptCntHs_BlkOut_oPushToggle2_reg_n_0 "RptCntHs/BlkOut.oPushToggle2_reg_n_0") (joined
13797          (portref I1 (instanceref BlkOut_oDataValid_i_1__2))
13798          (portref Q (instanceref RptCntHs_BlkOut_oPushToggle2_reg))
13799          )
13800         )
13801         (net (rename RptCntHs_iPushPulse "RptCntHs/iPushPulse") (joined
13802          (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_0_))
13803          (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_10_))
13804          (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_11_))
13805          (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_12_))
13806          (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_13_))
13807          (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_14_))
13808          (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_15_))
13809          (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_16_))
13810          (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_17_))
13811          (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_18_))
13812          (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_19_))
13813          (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_1_))
13814          (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_20_))
13815          (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_21_))
13816          (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_22_))
13817          (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_23_))
13818          (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_24_))
13819          (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_25_))
13820          (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_26_))
13821          (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_27_))
13822          (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_28_))
13823          (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_29_))
13824          (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_2_))
13825          (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_30_))
13826          (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_31_))
13827          (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_3_))
13828          (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_4_))
13829          (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_5_))
13830          (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_6_))
13831          (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_7_))
13832          (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_8_))
13833          (portref CE (instanceref RptCntHs_BlkIn_iLclStoredData_reg_9_))
13834          (portref CE (instanceref RptCntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
13835          (portref O (instanceref Gen0_FDCEx_i_1__0))
13836          )
13837         )
13838         (net (rename RptCntHs_iPushToggle "RptCntHs/iPushToggle") (joined
13839          (portref D (instanceref RptCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
13840          (portref I0 (instanceref Gen0_FDCEx_i_2__6))
13841          (portref Q (instanceref RptCntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
13842          )
13843         )
13844         (net (rename RptCntHs_iPushToggleNx "RptCntHs/iPushToggleNx") (joined
13845          (portref D (instanceref RptCntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
13846          (portref O (instanceref Gen0_FDCEx_i_2__6))
13847          )
13848         )
13849         (net (rename RptCntHs_oPushToggle0_ms "RptCntHs/oPushToggle0_ms") (joined
13850          (portref D (instanceref RptCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
13851          (portref Q (instanceref RptCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
13852          )
13853         )
13854         (net (rename RptCntHs_oPushToggle1 "RptCntHs/oPushToggle1") (joined
13855          (portref D (instanceref RptCntHs_BlkOut_oPushToggle2_reg))
13856          (portref I0 (instanceref BlkOut_oDataValid_i_1__2))
13857          (portref Q (instanceref RptCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
13858          )
13859         )
13860         (net (rename RptCntHs_oPushToggleChanged "RptCntHs/oPushToggleChanged") (joined
13861          (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
13862          (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
13863          (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
13864          (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
13865          (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
13866          (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
13867          (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
13868          (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
13869          (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
13870          (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
13871          (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
13872          (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
13873          (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
13874          (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
13875          (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
13876          (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
13877          (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
13878          (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
13879          (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
13880          (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
13881          (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
13882          (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
13883          (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
13884          (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
13885          (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
13886          (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
13887          (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
13888          (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
13889          (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
13890          (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
13891          (portref CE (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
13892          (portref D (instanceref RptCntHs_BlkOut_oDataValid_reg))
13893          (portref O (instanceref BlkOut_oDataValid_i_1__2))
13894          )
13895         )
13896         (net (rename RptCntReadbackDsGen_0__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[0].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13897          (portref D (instanceref RptCntReadbackDsGen_0__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13898          (portref Q (instanceref RptCntReadbackDsGen_0__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13899          )
13900         )
13901         (net (rename RptCntReadbackDsGen_10__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[10].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13902          (portref D (instanceref RptCntReadbackDsGen_10__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13903          (portref Q (instanceref RptCntReadbackDsGen_10__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13904          )
13905         )
13906         (net (rename RptCntReadbackDsGen_11__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[11].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13907          (portref D (instanceref RptCntReadbackDsGen_11__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13908          (portref Q (instanceref RptCntReadbackDsGen_11__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13909          )
13910         )
13911         (net (rename RptCntReadbackDsGen_12__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[12].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13912          (portref D (instanceref RptCntReadbackDsGen_12__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13913          (portref Q (instanceref RptCntReadbackDsGen_12__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13914          )
13915         )
13916         (net (rename RptCntReadbackDsGen_13__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[13].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13917          (portref D (instanceref RptCntReadbackDsGen_13__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13918          (portref Q (instanceref RptCntReadbackDsGen_13__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13919          )
13920         )
13921         (net (rename RptCntReadbackDsGen_14__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[14].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13922          (portref D (instanceref RptCntReadbackDsGen_14__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13923          (portref Q (instanceref RptCntReadbackDsGen_14__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13924          )
13925         )
13926         (net (rename RptCntReadbackDsGen_15__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[15].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13927          (portref D (instanceref RptCntReadbackDsGen_15__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13928          (portref Q (instanceref RptCntReadbackDsGen_15__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13929          )
13930         )
13931         (net (rename RptCntReadbackDsGen_16__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[16].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13932          (portref D (instanceref RptCntReadbackDsGen_16__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13933          (portref Q (instanceref RptCntReadbackDsGen_16__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13934          )
13935         )
13936         (net (rename RptCntReadbackDsGen_17__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[17].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13937          (portref D (instanceref RptCntReadbackDsGen_17__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13938          (portref Q (instanceref RptCntReadbackDsGen_17__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13939          )
13940         )
13941         (net (rename RptCntReadbackDsGen_18__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[18].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13942          (portref D (instanceref RptCntReadbackDsGen_18__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13943          (portref Q (instanceref RptCntReadbackDsGen_18__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13944          )
13945         )
13946         (net (rename RptCntReadbackDsGen_19__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[19].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13947          (portref D (instanceref RptCntReadbackDsGen_19__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13948          (portref Q (instanceref RptCntReadbackDsGen_19__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13949          )
13950         )
13951         (net (rename RptCntReadbackDsGen_1__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[1].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13952          (portref D (instanceref RptCntReadbackDsGen_1__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13953          (portref Q (instanceref RptCntReadbackDsGen_1__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13954          )
13955         )
13956         (net (rename RptCntReadbackDsGen_20__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[20].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13957          (portref D (instanceref RptCntReadbackDsGen_20__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13958          (portref Q (instanceref RptCntReadbackDsGen_20__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13959          )
13960         )
13961         (net (rename RptCntReadbackDsGen_21__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[21].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13962          (portref D (instanceref RptCntReadbackDsGen_21__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13963          (portref Q (instanceref RptCntReadbackDsGen_21__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13964          )
13965         )
13966         (net (rename RptCntReadbackDsGen_22__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[22].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13967          (portref D (instanceref RptCntReadbackDsGen_22__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13968          (portref Q (instanceref RptCntReadbackDsGen_22__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13969          )
13970         )
13971         (net (rename RptCntReadbackDsGen_23__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[23].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13972          (portref D (instanceref RptCntReadbackDsGen_23__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13973          (portref Q (instanceref RptCntReadbackDsGen_23__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13974          )
13975         )
13976         (net (rename RptCntReadbackDsGen_24__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[24].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13977          (portref D (instanceref RptCntReadbackDsGen_24__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13978          (portref Q (instanceref RptCntReadbackDsGen_24__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13979          )
13980         )
13981         (net (rename RptCntReadbackDsGen_25__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[25].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13982          (portref D (instanceref RptCntReadbackDsGen_25__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13983          (portref Q (instanceref RptCntReadbackDsGen_25__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13984          )
13985         )
13986         (net (rename RptCntReadbackDsGen_26__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[26].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13987          (portref D (instanceref RptCntReadbackDsGen_26__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13988          (portref Q (instanceref RptCntReadbackDsGen_26__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13989          )
13990         )
13991         (net (rename RptCntReadbackDsGen_27__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[27].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13992          (portref D (instanceref RptCntReadbackDsGen_27__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13993          (portref Q (instanceref RptCntReadbackDsGen_27__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13994          )
13995         )
13996         (net (rename RptCntReadbackDsGen_28__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[28].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
13997          (portref D (instanceref RptCntReadbackDsGen_28__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
13998          (portref Q (instanceref RptCntReadbackDsGen_28__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
13999          )
14000         )
14001         (net (rename RptCntReadbackDsGen_29__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[29].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14002          (portref D (instanceref RptCntReadbackDsGen_29__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14003          (portref Q (instanceref RptCntReadbackDsGen_29__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14004          )
14005         )
14006         (net (rename RptCntReadbackDsGen_2__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[2].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14007          (portref D (instanceref RptCntReadbackDsGen_2__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14008          (portref Q (instanceref RptCntReadbackDsGen_2__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14009          )
14010         )
14011         (net (rename RptCntReadbackDsGen_30__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[30].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14012          (portref D (instanceref RptCntReadbackDsGen_30__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14013          (portref Q (instanceref RptCntReadbackDsGen_30__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14014          )
14015         )
14016         (net (rename RptCntReadbackDsGen_31__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[31].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14017          (portref D (instanceref RptCntReadbackDsGen_31__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14018          (portref Q (instanceref RptCntReadbackDsGen_31__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14019          )
14020         )
14021         (net (rename RptCntReadbackDsGen_3__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[3].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14022          (portref D (instanceref RptCntReadbackDsGen_3__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14023          (portref Q (instanceref RptCntReadbackDsGen_3__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14024          )
14025         )
14026         (net (rename RptCntReadbackDsGen_4__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[4].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14027          (portref D (instanceref RptCntReadbackDsGen_4__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14028          (portref Q (instanceref RptCntReadbackDsGen_4__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14029          )
14030         )
14031         (net (rename RptCntReadbackDsGen_5__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[5].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14032          (portref D (instanceref RptCntReadbackDsGen_5__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14033          (portref Q (instanceref RptCntReadbackDsGen_5__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14034          )
14035         )
14036         (net (rename RptCntReadbackDsGen_6__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[6].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14037          (portref D (instanceref RptCntReadbackDsGen_6__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14038          (portref Q (instanceref RptCntReadbackDsGen_6__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14039          )
14040         )
14041         (net (rename RptCntReadbackDsGen_7__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[7].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14042          (portref D (instanceref RptCntReadbackDsGen_7__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14043          (portref Q (instanceref RptCntReadbackDsGen_7__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14044          )
14045         )
14046         (net (rename RptCntReadbackDsGen_8__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[8].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14047          (portref D (instanceref RptCntReadbackDsGen_8__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14048          (portref Q (instanceref RptCntReadbackDsGen_8__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14049          )
14050         )
14051         (net (rename RptCntReadbackDsGen_9__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "RptCntReadbackDsGen[9].RptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14052          (portref D (instanceref RptCntReadbackDsGen_9__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14053          (portref Q (instanceref RptCntReadbackDsGen_9__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14054          )
14055         )
14056         (net SampleClk (joined
14057          (portref C (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
14058          (portref C (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
14059          (portref C (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
14060          (portref C (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
14061          (portref C (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oDataValid_reg))
14062          (portref C (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx))
14063          (portref C (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle2_reg))
14064          (portref C (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx))
14065          (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
14066          (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
14067          (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
14068          (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
14069          (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
14070          (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
14071          (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
14072          (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
14073          (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
14074          (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
14075          (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
14076          (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
14077          (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
14078          (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
14079          (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
14080          (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
14081          (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
14082          (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
14083          (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
14084          (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
14085          (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
14086          (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
14087          (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
14088          (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
14089          (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
14090          (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
14091          (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
14092          (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
14093          (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
14094          (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
14095          (portref C (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
14096          (portref C (instanceref SpCntHs_BlkOut_oDataValid_reg))
14097          (portref C (instanceref SpCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
14098          (portref C (instanceref SpCntHs_BlkOut_oPushToggle2_reg))
14099          (portref C (instanceref SpCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
14100          (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
14101          (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
14102          (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
14103          (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
14104          (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
14105          (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
14106          (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
14107          (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
14108          (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
14109          (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
14110          (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
14111          (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
14112          (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
14113          (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
14114          (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
14115          (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
14116          (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
14117          (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
14118          (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
14119          (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
14120          (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
14121          (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
14122          (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
14123          (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
14124          (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
14125          (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
14126          (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
14127          (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
14128          (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
14129          (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
14130          (portref C (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
14131          (portref C (instanceref SptCntHs_BlkOut_oDataValid_reg))
14132          (portref C (instanceref SptCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
14133          (portref C (instanceref SptCntHs_BlkOut_oPushToggle2_reg))
14134          (portref C (instanceref SptCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
14135          (portref C (instanceref sPpsClkCrossDelayVal_reg_0_))
14136          (portref C (instanceref sPpsClkCrossDelayVal_reg_1_))
14137          (portref C (instanceref sPpsClkCrossDelayVal_reg_2_))
14138          (portref C (instanceref sPpsClkCrossDelayVal_reg_3_))
14139          (portref SampleClk)
14140          )
14141         )
14142         (net (rename SpCntHs_BlkIn_iDlyPush_reg_n_0 "SpCntHs/BlkIn.iDlyPush_reg_n_0") (joined
14143          (portref I0 (instanceref Gen0_FDCEx_i_1__3))
14144          (portref Q (instanceref SpCntHs_BlkIn_iDlyPush_reg))
14145          )
14146         )
14147         (net (rename SpCntHs_BlkOut_oPushToggle2_reg_n_0 "SpCntHs/BlkOut.oPushToggle2_reg_n_0") (joined
14148          (portref I1 (instanceref BlkOut_oDataValid_i_1__0))
14149          (portref Q (instanceref SpCntHs_BlkOut_oPushToggle2_reg))
14150          )
14151         )
14152         (net (rename SpCntHs_iPushPulse "SpCntHs/iPushPulse") (joined
14153          (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_0_))
14154          (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_10_))
14155          (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_11_))
14156          (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_12_))
14157          (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_13_))
14158          (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_14_))
14159          (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_15_))
14160          (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_16_))
14161          (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_17_))
14162          (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_18_))
14163          (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_19_))
14164          (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_1_))
14165          (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_20_))
14166          (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_21_))
14167          (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_22_))
14168          (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_23_))
14169          (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_24_))
14170          (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_25_))
14171          (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_26_))
14172          (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_27_))
14173          (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_28_))
14174          (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_29_))
14175          (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_2_))
14176          (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_30_))
14177          (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_31_))
14178          (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_3_))
14179          (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_4_))
14180          (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_5_))
14181          (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_6_))
14182          (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_7_))
14183          (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_8_))
14184          (portref CE (instanceref SpCntHs_BlkIn_iLclStoredData_reg_9_))
14185          (portref CE (instanceref SpCntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
14186          (portref O (instanceref Gen0_FDCEx_i_1__3))
14187          )
14188         )
14189         (net (rename SpCntHs_iPushToggle "SpCntHs/iPushToggle") (joined
14190          (portref D (instanceref SpCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
14191          (portref I0 (instanceref Gen0_FDCEx_i_2__3))
14192          (portref Q (instanceref SpCntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
14193          )
14194         )
14195         (net (rename SpCntHs_iPushToggleNx "SpCntHs/iPushToggleNx") (joined
14196          (portref D (instanceref SpCntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
14197          (portref O (instanceref Gen0_FDCEx_i_2__3))
14198          )
14199         )
14200         (net (rename SpCntHs_oPushToggle0_ms "SpCntHs/oPushToggle0_ms") (joined
14201          (portref D (instanceref SpCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
14202          (portref Q (instanceref SpCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
14203          )
14204         )
14205         (net (rename SpCntHs_oPushToggle1 "SpCntHs/oPushToggle1") (joined
14206          (portref D (instanceref SpCntHs_BlkOut_oPushToggle2_reg))
14207          (portref I0 (instanceref BlkOut_oDataValid_i_1__0))
14208          (portref Q (instanceref SpCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
14209          )
14210         )
14211         (net (rename SpCntHs_oPushToggleChanged "SpCntHs/oPushToggleChanged") (joined
14212          (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
14213          (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
14214          (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
14215          (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
14216          (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
14217          (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
14218          (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
14219          (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
14220          (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
14221          (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
14222          (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
14223          (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
14224          (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
14225          (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
14226          (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
14227          (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
14228          (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
14229          (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
14230          (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
14231          (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
14232          (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
14233          (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
14234          (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
14235          (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
14236          (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
14237          (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
14238          (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
14239          (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
14240          (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
14241          (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
14242          (portref CE (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
14243          (portref D (instanceref SpCntHs_BlkOut_oDataValid_reg))
14244          (portref O (instanceref BlkOut_oDataValid_i_1__0))
14245          )
14246         )
14247         (net (rename SpCntReadbackDsGen_0__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[0].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14248          (portref D (instanceref SpCntReadbackDsGen_0__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14249          (portref Q (instanceref SpCntReadbackDsGen_0__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14250          )
14251         )
14252         (net (rename SpCntReadbackDsGen_10__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[10].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14253          (portref D (instanceref SpCntReadbackDsGen_10__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14254          (portref Q (instanceref SpCntReadbackDsGen_10__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14255          )
14256         )
14257         (net (rename SpCntReadbackDsGen_11__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[11].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14258          (portref D (instanceref SpCntReadbackDsGen_11__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14259          (portref Q (instanceref SpCntReadbackDsGen_11__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14260          )
14261         )
14262         (net (rename SpCntReadbackDsGen_12__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[12].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14263          (portref D (instanceref SpCntReadbackDsGen_12__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14264          (portref Q (instanceref SpCntReadbackDsGen_12__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14265          )
14266         )
14267         (net (rename SpCntReadbackDsGen_13__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[13].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14268          (portref D (instanceref SpCntReadbackDsGen_13__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14269          (portref Q (instanceref SpCntReadbackDsGen_13__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14270          )
14271         )
14272         (net (rename SpCntReadbackDsGen_14__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[14].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14273          (portref D (instanceref SpCntReadbackDsGen_14__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14274          (portref Q (instanceref SpCntReadbackDsGen_14__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14275          )
14276         )
14277         (net (rename SpCntReadbackDsGen_15__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[15].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14278          (portref D (instanceref SpCntReadbackDsGen_15__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14279          (portref Q (instanceref SpCntReadbackDsGen_15__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14280          )
14281         )
14282         (net (rename SpCntReadbackDsGen_16__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[16].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14283          (portref D (instanceref SpCntReadbackDsGen_16__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14284          (portref Q (instanceref SpCntReadbackDsGen_16__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14285          )
14286         )
14287         (net (rename SpCntReadbackDsGen_17__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[17].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14288          (portref D (instanceref SpCntReadbackDsGen_17__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14289          (portref Q (instanceref SpCntReadbackDsGen_17__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14290          )
14291         )
14292         (net (rename SpCntReadbackDsGen_18__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[18].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14293          (portref D (instanceref SpCntReadbackDsGen_18__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14294          (portref Q (instanceref SpCntReadbackDsGen_18__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14295          )
14296         )
14297         (net (rename SpCntReadbackDsGen_19__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[19].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14298          (portref D (instanceref SpCntReadbackDsGen_19__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14299          (portref Q (instanceref SpCntReadbackDsGen_19__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14300          )
14301         )
14302         (net (rename SpCntReadbackDsGen_1__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[1].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14303          (portref D (instanceref SpCntReadbackDsGen_1__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14304          (portref Q (instanceref SpCntReadbackDsGen_1__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14305          )
14306         )
14307         (net (rename SpCntReadbackDsGen_20__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[20].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14308          (portref D (instanceref SpCntReadbackDsGen_20__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14309          (portref Q (instanceref SpCntReadbackDsGen_20__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14310          )
14311         )
14312         (net (rename SpCntReadbackDsGen_21__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[21].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14313          (portref D (instanceref SpCntReadbackDsGen_21__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14314          (portref Q (instanceref SpCntReadbackDsGen_21__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14315          )
14316         )
14317         (net (rename SpCntReadbackDsGen_22__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[22].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14318          (portref D (instanceref SpCntReadbackDsGen_22__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14319          (portref Q (instanceref SpCntReadbackDsGen_22__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14320          )
14321         )
14322         (net (rename SpCntReadbackDsGen_23__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[23].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14323          (portref D (instanceref SpCntReadbackDsGen_23__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14324          (portref Q (instanceref SpCntReadbackDsGen_23__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14325          )
14326         )
14327         (net (rename SpCntReadbackDsGen_24__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[24].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14328          (portref D (instanceref SpCntReadbackDsGen_24__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14329          (portref Q (instanceref SpCntReadbackDsGen_24__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14330          )
14331         )
14332         (net (rename SpCntReadbackDsGen_25__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[25].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14333          (portref D (instanceref SpCntReadbackDsGen_25__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14334          (portref Q (instanceref SpCntReadbackDsGen_25__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14335          )
14336         )
14337         (net (rename SpCntReadbackDsGen_26__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[26].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14338          (portref D (instanceref SpCntReadbackDsGen_26__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14339          (portref Q (instanceref SpCntReadbackDsGen_26__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14340          )
14341         )
14342         (net (rename SpCntReadbackDsGen_27__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[27].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14343          (portref D (instanceref SpCntReadbackDsGen_27__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14344          (portref Q (instanceref SpCntReadbackDsGen_27__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14345          )
14346         )
14347         (net (rename SpCntReadbackDsGen_28__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[28].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14348          (portref D (instanceref SpCntReadbackDsGen_28__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14349          (portref Q (instanceref SpCntReadbackDsGen_28__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14350          )
14351         )
14352         (net (rename SpCntReadbackDsGen_29__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[29].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14353          (portref D (instanceref SpCntReadbackDsGen_29__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14354          (portref Q (instanceref SpCntReadbackDsGen_29__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14355          )
14356         )
14357         (net (rename SpCntReadbackDsGen_2__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[2].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14358          (portref D (instanceref SpCntReadbackDsGen_2__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14359          (portref Q (instanceref SpCntReadbackDsGen_2__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14360          )
14361         )
14362         (net (rename SpCntReadbackDsGen_30__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[30].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14363          (portref D (instanceref SpCntReadbackDsGen_30__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14364          (portref Q (instanceref SpCntReadbackDsGen_30__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14365          )
14366         )
14367         (net (rename SpCntReadbackDsGen_31__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[31].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14368          (portref D (instanceref SpCntReadbackDsGen_31__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14369          (portref Q (instanceref SpCntReadbackDsGen_31__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14370          )
14371         )
14372         (net (rename SpCntReadbackDsGen_3__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[3].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14373          (portref D (instanceref SpCntReadbackDsGen_3__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14374          (portref Q (instanceref SpCntReadbackDsGen_3__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14375          )
14376         )
14377         (net (rename SpCntReadbackDsGen_4__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[4].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14378          (portref D (instanceref SpCntReadbackDsGen_4__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14379          (portref Q (instanceref SpCntReadbackDsGen_4__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14380          )
14381         )
14382         (net (rename SpCntReadbackDsGen_5__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[5].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14383          (portref D (instanceref SpCntReadbackDsGen_5__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14384          (portref Q (instanceref SpCntReadbackDsGen_5__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14385          )
14386         )
14387         (net (rename SpCntReadbackDsGen_6__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[6].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14388          (portref D (instanceref SpCntReadbackDsGen_6__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14389          (portref Q (instanceref SpCntReadbackDsGen_6__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14390          )
14391         )
14392         (net (rename SpCntReadbackDsGen_7__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[7].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14393          (portref D (instanceref SpCntReadbackDsGen_7__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14394          (portref Q (instanceref SpCntReadbackDsGen_7__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14395          )
14396         )
14397         (net (rename SpCntReadbackDsGen_8__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[8].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14398          (portref D (instanceref SpCntReadbackDsGen_8__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14399          (portref Q (instanceref SpCntReadbackDsGen_8__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14400          )
14401         )
14402         (net (rename SpCntReadbackDsGen_9__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SpCntReadbackDsGen[9].SpCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14403          (portref D (instanceref SpCntReadbackDsGen_9__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14404          (portref Q (instanceref SpCntReadbackDsGen_9__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14405          )
14406         )
14407         (net (rename SptCntHs_BlkIn_iDlyPush_reg_n_0 "SptCntHs/BlkIn.iDlyPush_reg_n_0") (joined
14408          (portref I0 (instanceref Gen0_FDCEx_i_1))
14409          (portref Q (instanceref SptCntHs_BlkIn_iDlyPush_reg))
14410          )
14411         )
14412         (net (rename SptCntHs_BlkOut_oPushToggle2_reg_n_0 "SptCntHs/BlkOut.oPushToggle2_reg_n_0") (joined
14413          (portref I1 (instanceref BlkOut_oDataValid_i_1__3))
14414          (portref Q (instanceref SptCntHs_BlkOut_oPushToggle2_reg))
14415          )
14416         )
14417         (net (rename SptCntHs_iPushPulse "SptCntHs/iPushPulse") (joined
14418          (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_0_))
14419          (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_10_))
14420          (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_11_))
14421          (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_12_))
14422          (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_13_))
14423          (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_14_))
14424          (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_15_))
14425          (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_16_))
14426          (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_17_))
14427          (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_18_))
14428          (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_19_))
14429          (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_1_))
14430          (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_20_))
14431          (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_21_))
14432          (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_22_))
14433          (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_23_))
14434          (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_24_))
14435          (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_25_))
14436          (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_26_))
14437          (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_27_))
14438          (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_28_))
14439          (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_29_))
14440          (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_2_))
14441          (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_30_))
14442          (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_31_))
14443          (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_3_))
14444          (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_4_))
14445          (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_5_))
14446          (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_6_))
14447          (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_7_))
14448          (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_8_))
14449          (portref CE (instanceref SptCntHs_BlkIn_iLclStoredData_reg_9_))
14450          (portref CE (instanceref SptCntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
14451          (portref O (instanceref Gen0_FDCEx_i_1))
14452          )
14453         )
14454         (net (rename SptCntHs_iPushToggle "SptCntHs/iPushToggle") (joined
14455          (portref D (instanceref SptCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
14456          (portref I0 (instanceref Gen0_FDCEx_i_2__7))
14457          (portref Q (instanceref SptCntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
14458          )
14459         )
14460         (net (rename SptCntHs_iPushToggleNx "SptCntHs/iPushToggleNx") (joined
14461          (portref D (instanceref SptCntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
14462          (portref O (instanceref Gen0_FDCEx_i_2__7))
14463          )
14464         )
14465         (net (rename SptCntHs_oPushToggle0_ms "SptCntHs/oPushToggle0_ms") (joined
14466          (portref D (instanceref SptCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
14467          (portref Q (instanceref SptCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
14468          )
14469         )
14470         (net (rename SptCntHs_oPushToggle1 "SptCntHs/oPushToggle1") (joined
14471          (portref D (instanceref SptCntHs_BlkOut_oPushToggle2_reg))
14472          (portref I0 (instanceref BlkOut_oDataValid_i_1__3))
14473          (portref Q (instanceref SptCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
14474          )
14475         )
14476         (net (rename SptCntHs_oPushToggleChanged "SptCntHs/oPushToggleChanged") (joined
14477          (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
14478          (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
14479          (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
14480          (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
14481          (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
14482          (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
14483          (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
14484          (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
14485          (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
14486          (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
14487          (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
14488          (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
14489          (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
14490          (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
14491          (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
14492          (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
14493          (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
14494          (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
14495          (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
14496          (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
14497          (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
14498          (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
14499          (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
14500          (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
14501          (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
14502          (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
14503          (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
14504          (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
14505          (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
14506          (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
14507          (portref CE (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
14508          (portref D (instanceref SptCntHs_BlkOut_oDataValid_reg))
14509          (portref O (instanceref BlkOut_oDataValid_i_1__3))
14510          )
14511         )
14512         (net (rename SptCntReadbackDsGen_0__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[0].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14513          (portref D (instanceref SptCntReadbackDsGen_0__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14514          (portref Q (instanceref SptCntReadbackDsGen_0__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14515          )
14516         )
14517         (net (rename SptCntReadbackDsGen_10__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[10].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14518          (portref D (instanceref SptCntReadbackDsGen_10__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14519          (portref Q (instanceref SptCntReadbackDsGen_10__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14520          )
14521         )
14522         (net (rename SptCntReadbackDsGen_11__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[11].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14523          (portref D (instanceref SptCntReadbackDsGen_11__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14524          (portref Q (instanceref SptCntReadbackDsGen_11__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14525          )
14526         )
14527         (net (rename SptCntReadbackDsGen_12__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[12].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14528          (portref D (instanceref SptCntReadbackDsGen_12__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14529          (portref Q (instanceref SptCntReadbackDsGen_12__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14530          )
14531         )
14532         (net (rename SptCntReadbackDsGen_13__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[13].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14533          (portref D (instanceref SptCntReadbackDsGen_13__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14534          (portref Q (instanceref SptCntReadbackDsGen_13__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14535          )
14536         )
14537         (net (rename SptCntReadbackDsGen_14__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[14].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14538          (portref D (instanceref SptCntReadbackDsGen_14__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14539          (portref Q (instanceref SptCntReadbackDsGen_14__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14540          )
14541         )
14542         (net (rename SptCntReadbackDsGen_15__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[15].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14543          (portref D (instanceref SptCntReadbackDsGen_15__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14544          (portref Q (instanceref SptCntReadbackDsGen_15__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14545          )
14546         )
14547         (net (rename SptCntReadbackDsGen_16__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[16].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14548          (portref D (instanceref SptCntReadbackDsGen_16__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14549          (portref Q (instanceref SptCntReadbackDsGen_16__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14550          )
14551         )
14552         (net (rename SptCntReadbackDsGen_17__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[17].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14553          (portref D (instanceref SptCntReadbackDsGen_17__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14554          (portref Q (instanceref SptCntReadbackDsGen_17__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14555          )
14556         )
14557         (net (rename SptCntReadbackDsGen_18__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[18].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14558          (portref D (instanceref SptCntReadbackDsGen_18__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14559          (portref Q (instanceref SptCntReadbackDsGen_18__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14560          )
14561         )
14562         (net (rename SptCntReadbackDsGen_19__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[19].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14563          (portref D (instanceref SptCntReadbackDsGen_19__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14564          (portref Q (instanceref SptCntReadbackDsGen_19__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14565          )
14566         )
14567         (net (rename SptCntReadbackDsGen_1__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[1].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14568          (portref D (instanceref SptCntReadbackDsGen_1__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14569          (portref Q (instanceref SptCntReadbackDsGen_1__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14570          )
14571         )
14572         (net (rename SptCntReadbackDsGen_20__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[20].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14573          (portref D (instanceref SptCntReadbackDsGen_20__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14574          (portref Q (instanceref SptCntReadbackDsGen_20__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14575          )
14576         )
14577         (net (rename SptCntReadbackDsGen_21__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[21].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14578          (portref D (instanceref SptCntReadbackDsGen_21__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14579          (portref Q (instanceref SptCntReadbackDsGen_21__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14580          )
14581         )
14582         (net (rename SptCntReadbackDsGen_22__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[22].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14583          (portref D (instanceref SptCntReadbackDsGen_22__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14584          (portref Q (instanceref SptCntReadbackDsGen_22__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14585          )
14586         )
14587         (net (rename SptCntReadbackDsGen_23__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[23].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14588          (portref D (instanceref SptCntReadbackDsGen_23__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14589          (portref Q (instanceref SptCntReadbackDsGen_23__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14590          )
14591         )
14592         (net (rename SptCntReadbackDsGen_24__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[24].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14593          (portref D (instanceref SptCntReadbackDsGen_24__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14594          (portref Q (instanceref SptCntReadbackDsGen_24__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14595          )
14596         )
14597         (net (rename SptCntReadbackDsGen_25__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[25].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14598          (portref D (instanceref SptCntReadbackDsGen_25__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14599          (portref Q (instanceref SptCntReadbackDsGen_25__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14600          )
14601         )
14602         (net (rename SptCntReadbackDsGen_26__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[26].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14603          (portref D (instanceref SptCntReadbackDsGen_26__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14604          (portref Q (instanceref SptCntReadbackDsGen_26__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14605          )
14606         )
14607         (net (rename SptCntReadbackDsGen_27__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[27].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14608          (portref D (instanceref SptCntReadbackDsGen_27__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14609          (portref Q (instanceref SptCntReadbackDsGen_27__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14610          )
14611         )
14612         (net (rename SptCntReadbackDsGen_28__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[28].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14613          (portref D (instanceref SptCntReadbackDsGen_28__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14614          (portref Q (instanceref SptCntReadbackDsGen_28__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14615          )
14616         )
14617         (net (rename SptCntReadbackDsGen_29__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[29].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14618          (portref D (instanceref SptCntReadbackDsGen_29__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14619          (portref Q (instanceref SptCntReadbackDsGen_29__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14620          )
14621         )
14622         (net (rename SptCntReadbackDsGen_2__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[2].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14623          (portref D (instanceref SptCntReadbackDsGen_2__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14624          (portref Q (instanceref SptCntReadbackDsGen_2__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14625          )
14626         )
14627         (net (rename SptCntReadbackDsGen_30__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[30].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14628          (portref D (instanceref SptCntReadbackDsGen_30__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14629          (portref Q (instanceref SptCntReadbackDsGen_30__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14630          )
14631         )
14632         (net (rename SptCntReadbackDsGen_31__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[31].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14633          (portref D (instanceref SptCntReadbackDsGen_31__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14634          (portref Q (instanceref SptCntReadbackDsGen_31__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14635          )
14636         )
14637         (net (rename SptCntReadbackDsGen_3__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[3].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14638          (portref D (instanceref SptCntReadbackDsGen_3__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14639          (portref Q (instanceref SptCntReadbackDsGen_3__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14640          )
14641         )
14642         (net (rename SptCntReadbackDsGen_4__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[4].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14643          (portref D (instanceref SptCntReadbackDsGen_4__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14644          (portref Q (instanceref SptCntReadbackDsGen_4__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14645          )
14646         )
14647         (net (rename SptCntReadbackDsGen_5__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[5].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14648          (portref D (instanceref SptCntReadbackDsGen_5__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14649          (portref Q (instanceref SptCntReadbackDsGen_5__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14650          )
14651         )
14652         (net (rename SptCntReadbackDsGen_6__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[6].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14653          (portref D (instanceref SptCntReadbackDsGen_6__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14654          (portref Q (instanceref SptCntReadbackDsGen_6__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14655          )
14656         )
14657         (net (rename SptCntReadbackDsGen_7__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[7].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14658          (portref D (instanceref SptCntReadbackDsGen_7__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14659          (portref Q (instanceref SptCntReadbackDsGen_7__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14660          )
14661         )
14662         (net (rename SptCntReadbackDsGen_8__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[8].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14663          (portref D (instanceref SptCntReadbackDsGen_8__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14664          (portref Q (instanceref SptCntReadbackDsGen_8__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14665          )
14666         )
14667         (net (rename SptCntReadbackDsGen_9__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_ms "SptCntReadbackDsGen[9].SptCntReadbackDs/DoubleSyncAsyncInBasex/oSig_ms") (joined
14668          (portref D (instanceref SptCntReadbackDsGen_9__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14669          (portref Q (instanceref SptCntReadbackDsGen_9__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14670          )
14671         )
14672         (net aBusReset (joined
14673          (portref CLR (instanceref EnableTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14674          (portref CLR (instanceref EnableTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14675          (portref CLR (instanceref EnableTdcDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
14676          (portref CLR (instanceref PpsCrossEnDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14677          (portref CLR (instanceref PpsCrossEnDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14678          (portref CLR (instanceref PpsCrossEnDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
14679          (portref CLR (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iDlyPush_reg))
14680          (portref CLR (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_0_))
14681          (portref CLR (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_1_))
14682          (portref CLR (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_2_))
14683          (portref CLR (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_3_))
14684          (portref CLR (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx))
14685          (portref CLR (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
14686          (portref CLR (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
14687          (portref CLR (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
14688          (portref CLR (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
14689          (portref CLR (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oDataValid_reg))
14690          (portref CLR (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx))
14691          (portref CLR (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle2_reg))
14692          (portref CLR (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx))
14693          (portref CLR (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iDlyPush_reg))
14694          (portref CLR (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_0_))
14695          (portref CLR (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_1_))
14696          (portref CLR (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_2_))
14697          (portref CLR (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_3_))
14698          (portref CLR (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx))
14699          (portref CLR (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
14700          (portref CLR (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
14701          (portref CLR (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
14702          (portref CLR (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
14703          (portref CLR (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oDataValid_reg))
14704          (portref CLR (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx))
14705          (portref CLR (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle2_reg))
14706          (portref CLR (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx))
14707          (portref CLR (instanceref ReRunEnableDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14708          (portref CLR (instanceref ReRunEnableDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14709          (portref CLR (instanceref ReRunEnableDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
14710          (portref CLR (instanceref ResetTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
14711          (portref CLR (instanceref ResetTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
14712          (portref CLR (instanceref ResetTdcDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
14713          (portref CLR (instanceref aTdcResetLcl_reg))
14714          (portref CLR (instanceref aTdcResetLcl_reg_rep))
14715          (portref CLR (instanceref aTdcResetLcl_reg_rep__0))
14716          (portref CLR (instanceref aTdcResetLcl_reg_rep__1))
14717          (portref CLR (instanceref aTdcResetLcl_reg_rep__2))
14718          (portref CLR (instanceref aTdcResetLcl_reg_rep__3))
14719          (portref CLR (instanceref bClearTdcRegs_reg))
14720          (portref CLR (instanceref bEnableTdc_reg))
14721          (portref CLR (instanceref bOffsetUpdated_reg))
14722          (portref CLR (instanceref bPpsClkCrossDelayVal_reg_0_))
14723          (portref CLR (instanceref bPpsClkCrossDelayVal_reg_1_))
14724          (portref CLR (instanceref bPpsClkCrossDelayVal_reg_2_))
14725          (portref CLR (instanceref bPpsClkCrossDelayVal_reg_3_))
14726          (portref CLR (instanceref bPpsClkCrossEn_reg))
14727          (portref CLR (instanceref bPulserEnableDelayVal_reg_1_))
14728          (portref CLR (instanceref bPulserEnableDelayVal_reg_2_))
14729          (portref CLR (instanceref bPulserEnableDelayVal_reg_3_))
14730          (portref CLR (instanceref bPushPpsDelayVal_reg))
14731          (portref CLR (instanceref bPushPulserEnableDelayVal_reg))
14732          (portref CLR (instanceref bReRunEnable_reg))
14733          (portref CLR (instanceref bRegPortOutLcl_reg_Data__0_))
14734          (portref CLR (instanceref bRegPortOutLcl_reg_Data__10_))
14735          (portref CLR (instanceref bRegPortOutLcl_reg_Data__11_))
14736          (portref CLR (instanceref bRegPortOutLcl_reg_Data__12_))
14737          (portref CLR (instanceref bRegPortOutLcl_reg_Data__13_))
14738          (portref CLR (instanceref bRegPortOutLcl_reg_Data__14_))
14739          (portref CLR (instanceref bRegPortOutLcl_reg_Data__15_))
14740          (portref CLR (instanceref bRegPortOutLcl_reg_Data__16_))
14741          (portref CLR (instanceref bRegPortOutLcl_reg_Data__17_))
14742          (portref CLR (instanceref bRegPortOutLcl_reg_Data__18_))
14743          (portref CLR (instanceref bRegPortOutLcl_reg_Data__19_))
14744          (portref CLR (instanceref bRegPortOutLcl_reg_Data__1_))
14745          (portref CLR (instanceref bRegPortOutLcl_reg_Data__20_))
14746          (portref CLR (instanceref bRegPortOutLcl_reg_Data__21_))
14747          (portref CLR (instanceref bRegPortOutLcl_reg_Data__22_))
14748          (portref CLR (instanceref bRegPortOutLcl_reg_Data__23_))
14749          (portref CLR (instanceref bRegPortOutLcl_reg_Data__24_))
14750          (portref CLR (instanceref bRegPortOutLcl_reg_Data__25_))
14751          (portref CLR (instanceref bRegPortOutLcl_reg_Data__26_))
14752          (portref CLR (instanceref bRegPortOutLcl_reg_Data__27_))
14753          (portref CLR (instanceref bRegPortOutLcl_reg_Data__28_))
14754          (portref CLR (instanceref bRegPortOutLcl_reg_Data__29_))
14755          (portref CLR (instanceref bRegPortOutLcl_reg_Data__2_))
14756          (portref CLR (instanceref bRegPortOutLcl_reg_Data__30_))
14757          (portref CLR (instanceref bRegPortOutLcl_reg_Data__31_))
14758          (portref CLR (instanceref bRegPortOutLcl_reg_Data__3_))
14759          (portref CLR (instanceref bRegPortOutLcl_reg_Data__4_))
14760          (portref CLR (instanceref bRegPortOutLcl_reg_Data__5_))
14761          (portref CLR (instanceref bRegPortOutLcl_reg_Data__6_))
14762          (portref CLR (instanceref bRegPortOutLcl_reg_Data__7_))
14763          (portref CLR (instanceref bRegPortOutLcl_reg_Data__8_))
14764          (portref CLR (instanceref bRegPortOutLcl_reg_Data__9_))
14765          (portref CLR (instanceref bRpOffsetStored_reg_0_))
14766          (portref CLR (instanceref bRpOffsetStored_reg_10_))
14767          (portref CLR (instanceref bRpOffsetStored_reg_11_))
14768          (portref CLR (instanceref bRpOffsetStored_reg_12_))
14769          (portref CLR (instanceref bRpOffsetStored_reg_13_))
14770          (portref CLR (instanceref bRpOffsetStored_reg_14_))
14771          (portref CLR (instanceref bRpOffsetStored_reg_15_))
14772          (portref CLR (instanceref bRpOffsetStored_reg_16_))
14773          (portref CLR (instanceref bRpOffsetStored_reg_17_))
14774          (portref CLR (instanceref bRpOffsetStored_reg_18_))
14775          (portref CLR (instanceref bRpOffsetStored_reg_19_))
14776          (portref CLR (instanceref bRpOffsetStored_reg_1_))
14777          (portref CLR (instanceref bRpOffsetStored_reg_20_))
14778          (portref CLR (instanceref bRpOffsetStored_reg_21_))
14779          (portref CLR (instanceref bRpOffsetStored_reg_22_))
14780          (portref CLR (instanceref bRpOffsetStored_reg_23_))
14781          (portref CLR (instanceref bRpOffsetStored_reg_24_))
14782          (portref CLR (instanceref bRpOffsetStored_reg_25_))
14783          (portref CLR (instanceref bRpOffsetStored_reg_26_))
14784          (portref CLR (instanceref bRpOffsetStored_reg_27_))
14785          (portref CLR (instanceref bRpOffsetStored_reg_28_))
14786          (portref CLR (instanceref bRpOffsetStored_reg_29_))
14787          (portref CLR (instanceref bRpOffsetStored_reg_2_))
14788          (portref CLR (instanceref bRpOffsetStored_reg_30_))
14789          (portref CLR (instanceref bRpOffsetStored_reg_31_))
14790          (portref CLR (instanceref bRpOffsetStored_reg_32_))
14791          (portref CLR (instanceref bRpOffsetStored_reg_33_))
14792          (portref CLR (instanceref bRpOffsetStored_reg_34_))
14793          (portref CLR (instanceref bRpOffsetStored_reg_35_))
14794          (portref CLR (instanceref bRpOffsetStored_reg_36_))
14795          (portref CLR (instanceref bRpOffsetStored_reg_37_))
14796          (portref CLR (instanceref bRpOffsetStored_reg_38_))
14797          (portref CLR (instanceref bRpOffsetStored_reg_39_))
14798          (portref CLR (instanceref bRpOffsetStored_reg_3_))
14799          (portref CLR (instanceref bRpOffsetStored_reg_4_))
14800          (portref CLR (instanceref bRpOffsetStored_reg_5_))
14801          (portref CLR (instanceref bRpOffsetStored_reg_6_))
14802          (portref CLR (instanceref bRpOffsetStored_reg_7_))
14803          (portref CLR (instanceref bRpOffsetStored_reg_8_))
14804          (portref CLR (instanceref bRpOffsetStored_reg_9_))
14805          (portref CLR (instanceref bScratch_reg_0_))
14806          (portref CLR (instanceref bScratch_reg_10_))
14807          (portref CLR (instanceref bScratch_reg_11_))
14808          (portref CLR (instanceref bScratch_reg_12_))
14809          (portref CLR (instanceref bScratch_reg_13_))
14810          (portref CLR (instanceref bScratch_reg_14_))
14811          (portref CLR (instanceref bScratch_reg_15_))
14812          (portref CLR (instanceref bScratch_reg_16_))
14813          (portref CLR (instanceref bScratch_reg_17_))
14814          (portref CLR (instanceref bScratch_reg_18_))
14815          (portref CLR (instanceref bScratch_reg_19_))
14816          (portref CLR (instanceref bScratch_reg_1_))
14817          (portref CLR (instanceref bScratch_reg_20_))
14818          (portref CLR (instanceref bScratch_reg_21_))
14819          (portref CLR (instanceref bScratch_reg_22_))
14820          (portref CLR (instanceref bScratch_reg_23_))
14821          (portref CLR (instanceref bScratch_reg_24_))
14822          (portref CLR (instanceref bScratch_reg_25_))
14823          (portref CLR (instanceref bScratch_reg_26_))
14824          (portref CLR (instanceref bScratch_reg_27_))
14825          (portref CLR (instanceref bScratch_reg_28_))
14826          (portref CLR (instanceref bScratch_reg_29_))
14827          (portref CLR (instanceref bScratch_reg_2_))
14828          (portref CLR (instanceref bScratch_reg_30_))
14829          (portref CLR (instanceref bScratch_reg_31_))
14830          (portref CLR (instanceref bScratch_reg_3_))
14831          (portref CLR (instanceref bScratch_reg_4_))
14832          (portref CLR (instanceref bScratch_reg_5_))
14833          (portref CLR (instanceref bScratch_reg_6_))
14834          (portref CLR (instanceref bScratch_reg_7_))
14835          (portref CLR (instanceref bScratch_reg_8_))
14836          (portref CLR (instanceref bScratch_reg_9_))
14837          (portref CLR (instanceref bSpOffsetStored_reg_0_))
14838          (portref CLR (instanceref bSpOffsetStored_reg_10_))
14839          (portref CLR (instanceref bSpOffsetStored_reg_11_))
14840          (portref CLR (instanceref bSpOffsetStored_reg_12_))
14841          (portref CLR (instanceref bSpOffsetStored_reg_13_))
14842          (portref CLR (instanceref bSpOffsetStored_reg_14_))
14843          (portref CLR (instanceref bSpOffsetStored_reg_15_))
14844          (portref CLR (instanceref bSpOffsetStored_reg_16_))
14845          (portref CLR (instanceref bSpOffsetStored_reg_17_))
14846          (portref CLR (instanceref bSpOffsetStored_reg_18_))
14847          (portref CLR (instanceref bSpOffsetStored_reg_19_))
14848          (portref CLR (instanceref bSpOffsetStored_reg_1_))
14849          (portref CLR (instanceref bSpOffsetStored_reg_20_))
14850          (portref CLR (instanceref bSpOffsetStored_reg_21_))
14851          (portref CLR (instanceref bSpOffsetStored_reg_22_))
14852          (portref CLR (instanceref bSpOffsetStored_reg_23_))
14853          (portref CLR (instanceref bSpOffsetStored_reg_24_))
14854          (portref CLR (instanceref bSpOffsetStored_reg_25_))
14855          (portref CLR (instanceref bSpOffsetStored_reg_26_))
14856          (portref CLR (instanceref bSpOffsetStored_reg_27_))
14857          (portref CLR (instanceref bSpOffsetStored_reg_28_))
14858          (portref CLR (instanceref bSpOffsetStored_reg_29_))
14859          (portref CLR (instanceref bSpOffsetStored_reg_2_))
14860          (portref CLR (instanceref bSpOffsetStored_reg_30_))
14861          (portref CLR (instanceref bSpOffsetStored_reg_31_))
14862          (portref CLR (instanceref bSpOffsetStored_reg_3_))
14863          (portref CLR (instanceref bSpOffsetStored_reg_4_))
14864          (portref CLR (instanceref bSpOffsetStored_reg_5_))
14865          (portref CLR (instanceref bSpOffsetStored_reg_6_))
14866          (portref CLR (instanceref bSpOffsetStored_reg_7_))
14867          (portref CLR (instanceref bSpOffsetStored_reg_8_))
14868          (portref CLR (instanceref bSpOffsetStored_reg_9_))
14869          (portref CLR (instanceref rPulserEnableDelayVal_reg_1_))
14870          (portref CLR (instanceref rPulserEnableDelayVal_reg_2_))
14871          (portref CLR (instanceref rPulserEnableDelayVal_reg_3_))
14872          (portref CLR (instanceref sPpsClkCrossDelayVal_reg_0_))
14873          (portref CLR (instanceref sPpsClkCrossDelayVal_reg_1_))
14874          (portref CLR (instanceref sPpsClkCrossDelayVal_reg_2_))
14875          (portref CLR (instanceref sPpsClkCrossDelayVal_reg_3_))
14876          (portref PRE (instanceref bPulserEnableDelayVal_reg_0_))
14877          (portref PRE (instanceref bResetTdc_reg))
14878          (portref PRE (instanceref rPulserEnableDelayVal_reg_0_))
14879          (portref aBusReset)
14880          )
14881         )
14882         (net aTdcReset (joined
14883          (portref CLR (instanceref RptCntHs_BlkIn_iDlyPush_reg))
14884          (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_0_))
14885          (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_10_))
14886          (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_11_))
14887          (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_12_))
14888          (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_13_))
14889          (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_14_))
14890          (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_15_))
14891          (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_16_))
14892          (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_17_))
14893          (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_18_))
14894          (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_19_))
14895          (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_1_))
14896          (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_20_))
14897          (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_21_))
14898          (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_22_))
14899          (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_23_))
14900          (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_24_))
14901          (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_25_))
14902          (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_26_))
14903          (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_27_))
14904          (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_28_))
14905          (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_29_))
14906          (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_2_))
14907          (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_30_))
14908          (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_31_))
14909          (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_3_))
14910          (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_4_))
14911          (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_5_))
14912          (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_6_))
14913          (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_7_))
14914          (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_8_))
14915          (portref CLR (instanceref RptCntHs_BlkIn_iLclStoredData_reg_9_))
14916          (portref CLR (instanceref RptCntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
14917          (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
14918          (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
14919          (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
14920          (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
14921          (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
14922          (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
14923          (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
14924          (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
14925          (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
14926          (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
14927          (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
14928          (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
14929          (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
14930          (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
14931          (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
14932          (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
14933          (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
14934          (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
14935          (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
14936          (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
14937          (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
14938          (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
14939          (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
14940          (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
14941          (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
14942          (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
14943          (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
14944          (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
14945          (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
14946          (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
14947          (portref CLR (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
14948          (portref CLR (instanceref RptCntHs_BlkOut_oDataValid_reg))
14949          (portref CLR (instanceref RptCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
14950          (portref CLR (instanceref RptCntHs_BlkOut_oPushToggle2_reg))
14951          (portref CLR (instanceref RptCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
14952          (portref I3 (instanceref aTdcResetLcl_i_1))
14953          (portref I3 (instanceref aTdcResetLcl_rep_i_1))
14954          (portref I3 (instanceref aTdcResetLcl_rep_i_1__0))
14955          (portref I3 (instanceref aTdcResetLcl_rep_i_1__1))
14956          (portref I3 (instanceref aTdcResetLcl_rep_i_1__2))
14957          (portref I3 (instanceref aTdcResetLcl_rep_i_1__3))
14958          (portref Q (instanceref aTdcResetLcl_reg))
14959          (portref aTdcReset)
14960          )
14961         )
14962         (net aTdcResetLcl_i_1_n_0 (joined
14963          (portref D (instanceref aTdcResetLcl_reg))
14964          (portref O (instanceref aTdcResetLcl_i_1))
14965          )
14966         )
14967         (net aTdcResetLcl_i_2_n_0 (joined
14968          (portref I1 (instanceref aTdcResetLcl_i_1))
14969          (portref I1 (instanceref aTdcResetLcl_rep_i_1))
14970          (portref I1 (instanceref aTdcResetLcl_rep_i_1__0))
14971          (portref I1 (instanceref aTdcResetLcl_rep_i_1__1))
14972          (portref I1 (instanceref aTdcResetLcl_rep_i_1__2))
14973          (portref I1 (instanceref aTdcResetLcl_rep_i_1__3))
14974          (portref O (instanceref aTdcResetLcl_i_2))
14975          )
14976         )
14977         (net aTdcResetLcl_i_3_n_0 (joined
14978          (portref I1 (instanceref bRegPortOutLcl_Data__27__i_7))
14979          (portref I1 (instanceref bRegPortOutLcl_Data__28__i_5))
14980          (portref I1 (instanceref bRegPortOutLcl_Data__28__i_7))
14981          (portref I1 (instanceref bRegPortOutLcl_Data__31__i_11))
14982          (portref I2 (instanceref aTdcResetLcl_i_1))
14983          (portref I2 (instanceref aTdcResetLcl_rep_i_1))
14984          (portref I2 (instanceref aTdcResetLcl_rep_i_1__0))
14985          (portref I2 (instanceref aTdcResetLcl_rep_i_1__1))
14986          (portref I2 (instanceref aTdcResetLcl_rep_i_1__2))
14987          (portref I2 (instanceref aTdcResetLcl_rep_i_1__3))
14988          (portref I2 (instanceref bRegPortOutLcl_Data__0__i_7))
14989          (portref I2 (instanceref bRegPortOutLcl_Data__25__i_3))
14990          (portref I2 (instanceref bRegPortOutLcl_Data__31__i_6))
14991          (portref I2 (instanceref bRegPortOutLcl_Data__31__i_7))
14992          (portref I2 (instanceref bRegPortOutLcl_Data__31__i_8))
14993          (portref I2 (instanceref bRegPortOutLcl_Data__7__i_6))
14994          (portref I2 (instanceref bRegPortOutLcl_Data__8__i_6))
14995          (portref I5 (instanceref bPushPpsDelayVal_i_1))
14996          (portref I5 (instanceref bPushPulserEnableDelayVal_i_1))
14997          (portref O (instanceref aTdcResetLcl_i_3))
14998          )
14999         )
15000         (net aTdcResetLcl_i_4_n_0 (joined
15001          (portref I1 (instanceref bRpOffsetStored_39__i_3))
15002          (portref I2 (instanceref aTdcResetLcl_i_3))
15003          (portref I2 (instanceref bRegPortOutLcl_Data__28__i_8))
15004          (portref I2 (instanceref bRegPortOutLcl_Data__30__i_6))
15005          (portref O (instanceref aTdcResetLcl_i_4))
15006          )
15007         )
15008         (net aTdcResetLcl_i_5_n_0 (joined
15009          (portref I0 (instanceref bRpOffsetStored_39__i_3))
15010          (portref I1 (instanceref bRegPortOutLcl_Data__30__i_6))
15011          (portref I3 (instanceref aTdcResetLcl_i_3))
15012          (portref I3 (instanceref bRegPortOutLcl_Data__28__i_8))
15013          (portref O (instanceref aTdcResetLcl_i_5))
15014          )
15015         )
15016         (net aTdcResetLcl_reg_rep__0_n_0 (joined
15017          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iDlyPush_reg))
15018          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_0_))
15019          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_10_))
15020          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_11_))
15021          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_12_))
15022          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_13_))
15023          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_14_))
15024          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_15_))
15025          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_16_))
15026          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_17_))
15027          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_18_))
15028          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_19_))
15029          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_1_))
15030          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_20_))
15031          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_21_))
15032          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_22_))
15033          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_23_))
15034          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_24_))
15035          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_25_))
15036          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_26_))
15037          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_27_))
15038          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_28_))
15039          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_29_))
15040          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_2_))
15041          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_30_))
15042          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_31_))
15043          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_32_))
15044          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_33_))
15045          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_34_))
15046          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_35_))
15047          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_36_))
15048          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_37_))
15049          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_38_))
15050          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_39_))
15051          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_3_))
15052          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_40_))
15053          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_41_))
15054          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_42_))
15055          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_43_))
15056          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_44_))
15057          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_45_))
15058          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_46_))
15059          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_47_))
15060          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_48_))
15061          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_49_))
15062          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_4_))
15063          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_50_))
15064          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_51_))
15065          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_52_))
15066          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_53_))
15067          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_54_))
15068          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_55_))
15069          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_56_))
15070          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_57_))
15071          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_58_))
15072          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_59_))
15073          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_5_))
15074          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_60_))
15075          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_61_))
15076          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_62_))
15077          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_63_))
15078          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_64_))
15079          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_65_))
15080          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_66_))
15081          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_67_))
15082          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_68_))
15083          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_69_))
15084          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_6_))
15085          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_70_))
15086          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_71_))
15087          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_72_))
15088          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_73_))
15089          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_74_))
15090          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_75_))
15091          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_76_))
15092          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_77_))
15093          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_78_))
15094          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_79_))
15095          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_7_))
15096          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_8_))
15097          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_9_))
15098          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkIn_iPushTogglex_Gen0_FDCEx))
15099          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
15100          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
15101          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
15102          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
15103          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
15104          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
15105          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
15106          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
15107          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
15108          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
15109          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
15110          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
15111          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
15112          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
15113          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
15114          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
15115          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
15116          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
15117          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
15118          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
15119          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
15120          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
15121          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
15122          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
15123          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_31__DFlopx_Gen0_FDCEx))
15124          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_32__DFlopx_Gen0_FDCEx))
15125          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_33__DFlopx_Gen0_FDCEx))
15126          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_34__DFlopx_Gen0_FDCEx))
15127          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_35__DFlopx_Gen0_FDCEx))
15128          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_36__DFlopx_Gen0_FDCEx))
15129          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_37__DFlopx_Gen0_FDCEx))
15130          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_38__DFlopx_Gen0_FDCEx))
15131          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_39__DFlopx_Gen0_FDCEx))
15132          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
15133          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_40__DFlopx_Gen0_FDCEx))
15134          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_41__DFlopx_Gen0_FDCEx))
15135          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_42__DFlopx_Gen0_FDCEx))
15136          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_43__DFlopx_Gen0_FDCEx))
15137          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_44__DFlopx_Gen0_FDCEx))
15138          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_45__DFlopx_Gen0_FDCEx))
15139          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_46__DFlopx_Gen0_FDCEx))
15140          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_47__DFlopx_Gen0_FDCEx))
15141          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_48__DFlopx_Gen0_FDCEx))
15142          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_49__DFlopx_Gen0_FDCEx))
15143          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
15144          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_50__DFlopx_Gen0_FDCEx))
15145          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_51__DFlopx_Gen0_FDCEx))
15146          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_52__DFlopx_Gen0_FDCEx))
15147          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_53__DFlopx_Gen0_FDCEx))
15148          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_54__DFlopx_Gen0_FDCEx))
15149          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_55__DFlopx_Gen0_FDCEx))
15150          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_56__DFlopx_Gen0_FDCEx))
15151          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_57__DFlopx_Gen0_FDCEx))
15152          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_58__DFlopx_Gen0_FDCEx))
15153          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_59__DFlopx_Gen0_FDCEx))
15154          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
15155          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_60__DFlopx_Gen0_FDCEx))
15156          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_61__DFlopx_Gen0_FDCEx))
15157          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_62__DFlopx_Gen0_FDCEx))
15158          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_63__DFlopx_Gen0_FDCEx))
15159          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_64__DFlopx_Gen0_FDCEx))
15160          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_65__DFlopx_Gen0_FDCEx))
15161          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_66__DFlopx_Gen0_FDCEx))
15162          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_67__DFlopx_Gen0_FDCEx))
15163          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_68__DFlopx_Gen0_FDCEx))
15164          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_69__DFlopx_Gen0_FDCEx))
15165          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
15166          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_70__DFlopx_Gen0_FDCEx))
15167          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_71__DFlopx_Gen0_FDCEx))
15168          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_72__DFlopx_Gen0_FDCEx))
15169          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_73__DFlopx_Gen0_FDCEx))
15170          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_74__DFlopx_Gen0_FDCEx))
15171          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_75__DFlopx_Gen0_FDCEx))
15172          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_76__DFlopx_Gen0_FDCEx))
15173          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_77__DFlopx_Gen0_FDCEx))
15174          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_78__DFlopx_Gen0_FDCEx))
15175          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_79__DFlopx_Gen0_FDCEx))
15176          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
15177          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
15178          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
15179          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_oDataValid_reg))
15180          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_oPushToggle1x_Gen0_FDCEx))
15181          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_oPushToggle2_reg))
15182          (portref CLR (instanceref IncomingOffsetHs_HBx_BlkOut_oPushToggle_msx_Gen0_FDCEx))
15183          (portref Q (instanceref aTdcResetLcl_reg_rep__0))
15184          )
15185         )
15186         (net aTdcResetLcl_reg_rep__1_n_0 (joined
15187          (portref CLR (instanceref RpCntHs_BlkIn_iDlyPush_reg))
15188          (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_0_))
15189          (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_10_))
15190          (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_11_))
15191          (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_12_))
15192          (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_13_))
15193          (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_14_))
15194          (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_15_))
15195          (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_16_))
15196          (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_17_))
15197          (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_18_))
15198          (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_19_))
15199          (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_1_))
15200          (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_20_))
15201          (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_21_))
15202          (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_22_))
15203          (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_23_))
15204          (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_24_))
15205          (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_25_))
15206          (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_26_))
15207          (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_27_))
15208          (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_28_))
15209          (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_29_))
15210          (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_2_))
15211          (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_30_))
15212          (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_31_))
15213          (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_3_))
15214          (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_4_))
15215          (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_5_))
15216          (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_6_))
15217          (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_7_))
15218          (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_8_))
15219          (portref CLR (instanceref RpCntHs_BlkIn_iLclStoredData_reg_9_))
15220          (portref CLR (instanceref RpCntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
15221          (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
15222          (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
15223          (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
15224          (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
15225          (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
15226          (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
15227          (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
15228          (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
15229          (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
15230          (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
15231          (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
15232          (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
15233          (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
15234          (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
15235          (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
15236          (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
15237          (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
15238          (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
15239          (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
15240          (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
15241          (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
15242          (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
15243          (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
15244          (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
15245          (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
15246          (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
15247          (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
15248          (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
15249          (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
15250          (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
15251          (portref CLR (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
15252          (portref CLR (instanceref RpCntHs_BlkOut_oDataValid_reg))
15253          (portref CLR (instanceref RpCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
15254          (portref CLR (instanceref RpCntHs_BlkOut_oPushToggle2_reg))
15255          (portref CLR (instanceref RpCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
15256          (portref Q (instanceref aTdcResetLcl_reg_rep__1))
15257          )
15258         )
15259         (net aTdcResetLcl_reg_rep__2_n_0 (joined
15260          (portref CLR (instanceref RePulse1CntHs_BlkIn_iDlyPush_reg))
15261          (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_0_))
15262          (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_10_))
15263          (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_11_))
15264          (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_12_))
15265          (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_13_))
15266          (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_14_))
15267          (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_15_))
15268          (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_16_))
15269          (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_17_))
15270          (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_18_))
15271          (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_19_))
15272          (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_1_))
15273          (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_20_))
15274          (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_21_))
15275          (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_22_))
15276          (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_23_))
15277          (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_24_))
15278          (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_25_))
15279          (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_26_))
15280          (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_27_))
15281          (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_28_))
15282          (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_29_))
15283          (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_2_))
15284          (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_30_))
15285          (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_31_))
15286          (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_3_))
15287          (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_4_))
15288          (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_5_))
15289          (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_6_))
15290          (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_7_))
15291          (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_8_))
15292          (portref CLR (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_9_))
15293          (portref CLR (instanceref RePulse1CntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
15294          (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
15295          (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
15296          (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
15297          (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
15298          (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
15299          (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
15300          (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
15301          (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
15302          (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
15303          (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
15304          (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
15305          (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
15306          (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
15307          (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
15308          (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
15309          (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
15310          (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
15311          (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
15312          (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
15313          (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
15314          (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
15315          (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
15316          (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
15317          (portref CLR (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
15318          (portref CLR (instanceref RePulse1CntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
15319          (portref CLR (instanceref RePulse1CntHs_BlkOut_oPushToggle2_reg))
15320          (portref CLR (instanceref RePulse1CntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
15321          (portref CLR (instanceref RePulse2CntHs_BlkIn_iDlyPush_reg))
15322          (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_0_))
15323          (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_10_))
15324          (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_11_))
15325          (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_12_))
15326          (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_13_))
15327          (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_14_))
15328          (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_15_))
15329          (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_16_))
15330          (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_17_))
15331          (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_18_))
15332          (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_19_))
15333          (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_1_))
15334          (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_20_))
15335          (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_21_))
15336          (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_22_))
15337          (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_23_))
15338          (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_24_))
15339          (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_25_))
15340          (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_26_))
15341          (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_27_))
15342          (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_28_))
15343          (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_29_))
15344          (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_2_))
15345          (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_30_))
15346          (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_31_))
15347          (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_3_))
15348          (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_4_))
15349          (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_5_))
15350          (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_6_))
15351          (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_7_))
15352          (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_8_))
15353          (portref CLR (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_9_))
15354          (portref CLR (instanceref RePulse2CntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
15355          (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
15356          (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
15357          (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
15358          (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
15359          (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
15360          (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
15361          (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
15362          (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
15363          (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
15364          (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
15365          (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
15366          (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
15367          (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
15368          (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
15369          (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
15370          (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
15371          (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
15372          (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
15373          (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
15374          (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
15375          (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
15376          (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
15377          (portref CLR (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
15378          (portref CLR (instanceref RePulse2CntHs_BlkOut_oDataValid_reg))
15379          (portref CLR (instanceref RePulse2CntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
15380          (portref CLR (instanceref RePulse2CntHs_BlkOut_oPushToggle2_reg))
15381          (portref CLR (instanceref RePulse2CntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
15382          (portref Q (instanceref aTdcResetLcl_reg_rep__2))
15383          )
15384         )
15385         (net aTdcResetLcl_reg_rep__3_n_0 (joined
15386          (portref CLR (instanceref SptCntHs_BlkIn_iDlyPush_reg))
15387          (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_0_))
15388          (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_10_))
15389          (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_11_))
15390          (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_12_))
15391          (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_13_))
15392          (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_14_))
15393          (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_15_))
15394          (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_16_))
15395          (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_17_))
15396          (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_18_))
15397          (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_19_))
15398          (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_1_))
15399          (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_20_))
15400          (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_21_))
15401          (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_22_))
15402          (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_23_))
15403          (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_24_))
15404          (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_25_))
15405          (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_26_))
15406          (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_27_))
15407          (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_28_))
15408          (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_29_))
15409          (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_2_))
15410          (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_30_))
15411          (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_31_))
15412          (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_3_))
15413          (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_4_))
15414          (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_5_))
15415          (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_6_))
15416          (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_7_))
15417          (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_8_))
15418          (portref CLR (instanceref SptCntHs_BlkIn_iLclStoredData_reg_9_))
15419          (portref CLR (instanceref SptCntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
15420          (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
15421          (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
15422          (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
15423          (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
15424          (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
15425          (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
15426          (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
15427          (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
15428          (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
15429          (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
15430          (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
15431          (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
15432          (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
15433          (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
15434          (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
15435          (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
15436          (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
15437          (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
15438          (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
15439          (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
15440          (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
15441          (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
15442          (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
15443          (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
15444          (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
15445          (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
15446          (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
15447          (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
15448          (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
15449          (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
15450          (portref CLR (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
15451          (portref CLR (instanceref SptCntHs_BlkOut_oDataValid_reg))
15452          (portref CLR (instanceref SptCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
15453          (portref CLR (instanceref SptCntHs_BlkOut_oPushToggle2_reg))
15454          (portref CLR (instanceref SptCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
15455          (portref Q (instanceref aTdcResetLcl_reg_rep__3))
15456          )
15457         )
15458         (net aTdcResetLcl_reg_rep_n_0 (joined
15459          (portref CLR (instanceref SpCntHs_BlkIn_iDlyPush_reg))
15460          (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_0_))
15461          (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_10_))
15462          (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_11_))
15463          (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_12_))
15464          (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_13_))
15465          (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_14_))
15466          (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_15_))
15467          (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_16_))
15468          (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_17_))
15469          (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_18_))
15470          (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_19_))
15471          (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_1_))
15472          (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_20_))
15473          (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_21_))
15474          (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_22_))
15475          (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_23_))
15476          (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_24_))
15477          (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_25_))
15478          (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_26_))
15479          (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_27_))
15480          (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_28_))
15481          (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_29_))
15482          (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_2_))
15483          (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_30_))
15484          (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_31_))
15485          (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_3_))
15486          (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_4_))
15487          (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_5_))
15488          (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_6_))
15489          (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_7_))
15490          (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_8_))
15491          (portref CLR (instanceref SpCntHs_BlkIn_iLclStoredData_reg_9_))
15492          (portref CLR (instanceref SpCntHs_BlkIn_iPushTogglex_Gen0_FDCEx))
15493          (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
15494          (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
15495          (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
15496          (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
15497          (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
15498          (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
15499          (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
15500          (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
15501          (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
15502          (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
15503          (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
15504          (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
15505          (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
15506          (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
15507          (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
15508          (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
15509          (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
15510          (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
15511          (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
15512          (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
15513          (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
15514          (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
15515          (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
15516          (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
15517          (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
15518          (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
15519          (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
15520          (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
15521          (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
15522          (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
15523          (portref CLR (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
15524          (portref CLR (instanceref SpCntHs_BlkOut_oDataValid_reg))
15525          (portref CLR (instanceref SpCntHs_BlkOut_oPushToggle1x_Gen0_FDCEx))
15526          (portref CLR (instanceref SpCntHs_BlkOut_oPushToggle2_reg))
15527          (portref CLR (instanceref SpCntHs_BlkOut_oPushToggle_msx_Gen0_FDCEx))
15528          (portref Q (instanceref aTdcResetLcl_reg_rep))
15529          )
15530         )
15531         (net aTdcResetLcl_rep_i_1__0_n_0 (joined
15532          (portref D (instanceref aTdcResetLcl_reg_rep__0))
15533          (portref O (instanceref aTdcResetLcl_rep_i_1__0))
15534          )
15535         )
15536         (net aTdcResetLcl_rep_i_1__1_n_0 (joined
15537          (portref D (instanceref aTdcResetLcl_reg_rep__1))
15538          (portref O (instanceref aTdcResetLcl_rep_i_1__1))
15539          )
15540         )
15541         (net aTdcResetLcl_rep_i_1__2_n_0 (joined
15542          (portref D (instanceref aTdcResetLcl_reg_rep__2))
15543          (portref O (instanceref aTdcResetLcl_rep_i_1__2))
15544          )
15545         )
15546         (net aTdcResetLcl_rep_i_1__3_n_0 (joined
15547          (portref D (instanceref aTdcResetLcl_reg_rep__3))
15548          (portref O (instanceref aTdcResetLcl_rep_i_1__3))
15549          )
15550         )
15551         (net aTdcResetLcl_rep_i_1_n_0 (joined
15552          (portref D (instanceref aTdcResetLcl_reg_rep))
15553          (portref O (instanceref aTdcResetLcl_rep_i_1))
15554          )
15555         )
15556         (net bBusReset (joined
15557          (portref I0 (instanceref bOffsetUpdated_i_1))
15558          (portref I0 (instanceref bPulserEnableDelayVal_0__i_1))
15559          (portref I0 (instanceref bRegPortOutLcl_Data__30__i_6))
15560          (portref I1 (instanceref bEnableTdc_i_1))
15561          (portref I1 (instanceref bPpsClkCrossDelayVal_0__i_1))
15562          (portref I1 (instanceref bPpsClkCrossDelayVal_1__i_1))
15563          (portref I1 (instanceref bPpsClkCrossDelayVal_2__i_1))
15564          (portref I1 (instanceref bPpsClkCrossDelayVal_3__i_2))
15565          (portref I1 (instanceref bPpsClkCrossEn_i_1))
15566          (portref I1 (instanceref bPulserEnableDelayVal_1__i_1))
15567          (portref I1 (instanceref bPulserEnableDelayVal_2__i_1))
15568          (portref I1 (instanceref bPulserEnableDelayVal_3__i_2))
15569          (portref I1 (instanceref bReRunEnable_i_1))
15570          (portref I1 (instanceref bResetTdc_i_1))
15571          (portref I1 (instanceref bRpOffsetStored_0__i_1))
15572          (portref I1 (instanceref bRpOffsetStored_10__i_1))
15573          (portref I1 (instanceref bRpOffsetStored_11__i_1))
15574          (portref I1 (instanceref bRpOffsetStored_12__i_1))
15575          (portref I1 (instanceref bRpOffsetStored_13__i_1))
15576          (portref I1 (instanceref bRpOffsetStored_14__i_1))
15577          (portref I1 (instanceref bRpOffsetStored_15__i_1))
15578          (portref I1 (instanceref bRpOffsetStored_16__i_1))
15579          (portref I1 (instanceref bRpOffsetStored_17__i_1))
15580          (portref I1 (instanceref bRpOffsetStored_18__i_1))
15581          (portref I1 (instanceref bRpOffsetStored_19__i_1))
15582          (portref I1 (instanceref bRpOffsetStored_1__i_1))
15583          (portref I1 (instanceref bRpOffsetStored_20__i_1))
15584          (portref I1 (instanceref bRpOffsetStored_21__i_1))
15585          (portref I1 (instanceref bRpOffsetStored_22__i_1))
15586          (portref I1 (instanceref bRpOffsetStored_23__i_1))
15587          (portref I1 (instanceref bRpOffsetStored_24__i_1))
15588          (portref I1 (instanceref bRpOffsetStored_25__i_1))
15589          (portref I1 (instanceref bRpOffsetStored_26__i_1))
15590          (portref I1 (instanceref bRpOffsetStored_27__i_1))
15591          (portref I1 (instanceref bRpOffsetStored_28__i_1))
15592          (portref I1 (instanceref bRpOffsetStored_29__i_1))
15593          (portref I1 (instanceref bRpOffsetStored_2__i_1))
15594          (portref I1 (instanceref bRpOffsetStored_30__i_1))
15595          (portref I1 (instanceref bRpOffsetStored_31__i_1))
15596          (portref I1 (instanceref bRpOffsetStored_32__i_1))
15597          (portref I1 (instanceref bRpOffsetStored_33__i_1))
15598          (portref I1 (instanceref bRpOffsetStored_34__i_1))
15599          (portref I1 (instanceref bRpOffsetStored_35__i_1))
15600          (portref I1 (instanceref bRpOffsetStored_36__i_1))
15601          (portref I1 (instanceref bRpOffsetStored_37__i_1))
15602          (portref I1 (instanceref bRpOffsetStored_38__i_1))
15603          (portref I1 (instanceref bRpOffsetStored_39__i_2))
15604          (portref I1 (instanceref bRpOffsetStored_3__i_1))
15605          (portref I1 (instanceref bRpOffsetStored_4__i_1))
15606          (portref I1 (instanceref bRpOffsetStored_5__i_1))
15607          (portref I1 (instanceref bRpOffsetStored_6__i_1))
15608          (portref I1 (instanceref bRpOffsetStored_7__i_1))
15609          (portref I1 (instanceref bRpOffsetStored_8__i_1))
15610          (portref I1 (instanceref bRpOffsetStored_9__i_1))
15611          (portref I1 (instanceref bScratch_0__i_1))
15612          (portref I1 (instanceref bScratch_10__i_1))
15613          (portref I1 (instanceref bScratch_11__i_1))
15614          (portref I1 (instanceref bScratch_12__i_1))
15615          (portref I1 (instanceref bScratch_13__i_1))
15616          (portref I1 (instanceref bScratch_14__i_1))
15617          (portref I1 (instanceref bScratch_15__i_1))
15618          (portref I1 (instanceref bScratch_1__i_1))
15619          (portref I1 (instanceref bScratch_20__i_1))
15620          (portref I1 (instanceref bScratch_21__i_1))
15621          (portref I1 (instanceref bScratch_22__i_1))
15622          (portref I1 (instanceref bScratch_23__i_1))
15623          (portref I1 (instanceref bScratch_24__i_1))
15624          (portref I1 (instanceref bScratch_28__i_1))
15625          (portref I1 (instanceref bScratch_29__i_1))
15626          (portref I1 (instanceref bScratch_2__i_1))
15627          (portref I1 (instanceref bScratch_30__i_1))
15628          (portref I1 (instanceref bScratch_31__i_2))
15629          (portref I1 (instanceref bScratch_31__i_5))
15630          (portref I1 (instanceref bScratch_3__i_1))
15631          (portref I1 (instanceref bScratch_4__i_1))
15632          (portref I1 (instanceref bScratch_5__i_1))
15633          (portref I1 (instanceref bScratch_6__i_1))
15634          (portref I1 (instanceref bScratch_7__i_1))
15635          (portref I1 (instanceref bScratch_8__i_1))
15636          (portref I1 (instanceref bScratch_9__i_1))
15637          (portref I1 (instanceref bSpOffsetStored_0__i_1))
15638          (portref I1 (instanceref bSpOffsetStored_10__i_1))
15639          (portref I1 (instanceref bSpOffsetStored_11__i_1))
15640          (portref I1 (instanceref bSpOffsetStored_12__i_1))
15641          (portref I1 (instanceref bSpOffsetStored_13__i_1))
15642          (portref I1 (instanceref bSpOffsetStored_14__i_1))
15643          (portref I1 (instanceref bSpOffsetStored_15__i_1))
15644          (portref I1 (instanceref bSpOffsetStored_16__i_1))
15645          (portref I1 (instanceref bSpOffsetStored_17__i_1))
15646          (portref I1 (instanceref bSpOffsetStored_18__i_1))
15647          (portref I1 (instanceref bSpOffsetStored_19__i_1))
15648          (portref I1 (instanceref bSpOffsetStored_1__i_1))
15649          (portref I1 (instanceref bSpOffsetStored_20__i_1))
15650          (portref I1 (instanceref bSpOffsetStored_21__i_1))
15651          (portref I1 (instanceref bSpOffsetStored_22__i_1))
15652          (portref I1 (instanceref bSpOffsetStored_23__i_1))
15653          (portref I1 (instanceref bSpOffsetStored_24__i_1))
15654          (portref I1 (instanceref bSpOffsetStored_25__i_1))
15655          (portref I1 (instanceref bSpOffsetStored_26__i_1))
15656          (portref I1 (instanceref bSpOffsetStored_27__i_1))
15657          (portref I1 (instanceref bSpOffsetStored_28__i_1))
15658          (portref I1 (instanceref bSpOffsetStored_29__i_1))
15659          (portref I1 (instanceref bSpOffsetStored_2__i_1))
15660          (portref I1 (instanceref bSpOffsetStored_30__i_1))
15661          (portref I1 (instanceref bSpOffsetStored_31__i_1))
15662          (portref I1 (instanceref bSpOffsetStored_3__i_1))
15663          (portref I1 (instanceref bSpOffsetStored_4__i_1))
15664          (portref I1 (instanceref bSpOffsetStored_5__i_1))
15665          (portref I1 (instanceref bSpOffsetStored_6__i_1))
15666          (portref I1 (instanceref bSpOffsetStored_7__i_1))
15667          (portref I1 (instanceref bSpOffsetStored_8__i_1))
15668          (portref I1 (instanceref bSpOffsetStored_9__i_1))
15669          (portref I2 (instanceref bPpsClkCrossDelayVal_3__i_1))
15670          (portref I2 (instanceref bPulserEnableDelayVal_3__i_1))
15671          (portref I3 (instanceref bClearTdcRegs_i_1))
15672          (portref I4 (instanceref aTdcResetLcl_i_3))
15673          (portref I4 (instanceref bRegPortOutLcl_Data__28__i_8))
15674          (portref I4 (instanceref bRpOffsetStored_39__i_1))
15675          (portref bBusReset)
15676          )
15677         )
15678         (net bClearTdcRegs (joined
15679          (portref D (instanceref bScratch_reg_0_))
15680          (portref O (instanceref bScratch_0__i_1))
15681          )
15682         )
15683         (net bClearTdcRegs_i_1_n_0 (joined
15684          (portref D (instanceref bClearTdcRegs_reg))
15685          (portref O (instanceref bClearTdcRegs_i_1))
15686          )
15687         )
15688         (net bClearTdcRegs_i_2_n_0 (joined
15689          (portref I2 (instanceref bClearTdcRegs_i_1))
15690          (portref O (instanceref bClearTdcRegs_i_2))
15691          )
15692         )
15693         (net bClearTdcRegs_i_3_n_0 (joined
15694          (portref I0 (instanceref bClearTdcRegs_i_2))
15695          (portref I0 (instanceref bRegPortOutLcl_Data__31__i_7))
15696          (portref I0 (instanceref bRegPortOutLcl_Data__31__i_9))
15697          (portref O (instanceref bClearTdcRegs_i_3))
15698          )
15699         )
15700         (net bClearTdcRegs_reg_n_0 (joined
15701          (portref I0 (instanceref bEnableTdc_i_1))
15702          (portref I0 (instanceref bPpsClkCrossEn_i_1))
15703          (portref I0 (instanceref bReRunEnable_i_1))
15704          (portref I0 (instanceref bResetTdc_i_1))
15705          (portref I0 (instanceref bScratch_31__i_5))
15706          (portref I1 (instanceref bPulserEnableDelayVal_0__i_1))
15707          (portref I2 (instanceref aTdcResetLcl_i_2))
15708          (portref I2 (instanceref bPpsClkCrossDelayVal_0__i_1))
15709          (portref I2 (instanceref bPpsClkCrossDelayVal_1__i_1))
15710          (portref I2 (instanceref bPpsClkCrossDelayVal_2__i_1))
15711          (portref I2 (instanceref bPpsClkCrossDelayVal_3__i_2))
15712          (portref I2 (instanceref bPulserEnableDelayVal_1__i_1))
15713          (portref I2 (instanceref bPulserEnableDelayVal_2__i_1))
15714          (portref I2 (instanceref bPulserEnableDelayVal_3__i_2))
15715          (portref I2 (instanceref bScratch_0__i_1))
15716          (portref I2 (instanceref bScratch_10__i_1))
15717          (portref I2 (instanceref bScratch_11__i_1))
15718          (portref I2 (instanceref bScratch_12__i_1))
15719          (portref I2 (instanceref bScratch_13__i_1))
15720          (portref I2 (instanceref bScratch_14__i_1))
15721          (portref I2 (instanceref bScratch_15__i_1))
15722          (portref I2 (instanceref bScratch_1__i_1))
15723          (portref I2 (instanceref bScratch_20__i_1))
15724          (portref I2 (instanceref bScratch_21__i_1))
15725          (portref I2 (instanceref bScratch_22__i_1))
15726          (portref I2 (instanceref bScratch_23__i_1))
15727          (portref I2 (instanceref bScratch_24__i_1))
15728          (portref I2 (instanceref bScratch_28__i_1))
15729          (portref I2 (instanceref bScratch_29__i_1))
15730          (portref I2 (instanceref bScratch_2__i_1))
15731          (portref I2 (instanceref bScratch_30__i_1))
15732          (portref I2 (instanceref bScratch_31__i_2))
15733          (portref I2 (instanceref bScratch_3__i_1))
15734          (portref I2 (instanceref bScratch_4__i_1))
15735          (portref I2 (instanceref bScratch_5__i_1))
15736          (portref I2 (instanceref bScratch_6__i_1))
15737          (portref I2 (instanceref bScratch_7__i_1))
15738          (portref I2 (instanceref bScratch_8__i_1))
15739          (portref I2 (instanceref bScratch_9__i_1))
15740          (portref I3 (instanceref bPpsClkCrossDelayVal_3__i_1))
15741          (portref I3 (instanceref bPulserEnableDelayVal_3__i_1))
15742          (portref I3 (instanceref bPushPpsDelayVal_i_1))
15743          (portref I3 (instanceref bPushPulserEnableDelayVal_i_1))
15744          (portref I4 (instanceref bClearTdcRegs_i_1))
15745          (portref Q (instanceref bClearTdcRegs_reg))
15746          )
15747         )
15748         (net bEnableTdc (joined
15749          (portref D (instanceref bScratch_reg_4_))
15750          (portref O (instanceref bScratch_4__i_1))
15751          )
15752         )
15753         (net bEnableTdc_i_1_n_0 (joined
15754          (portref D (instanceref bEnableTdc_reg))
15755          (portref O (instanceref bEnableTdc_i_1))
15756          )
15757         )
15758         (net bEnableTdc_reg_n_0 (joined
15759          (portref D (instanceref EnableTdcDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
15760          (portref I3 (instanceref bRegPortOutLcl_Data__5__i_2))
15761          (portref I5 (instanceref bEnableTdc_i_1))
15762          (portref I5 (instanceref bRegPortOutLcl_Data__4__i_5))
15763          (portref Q (instanceref bEnableTdc_reg))
15764          )
15765         )
15766         (net bOffsetUpdated_i_1_n_0 (joined
15767          (portref D (instanceref bOffsetUpdated_reg))
15768          (portref O (instanceref bOffsetUpdated_i_1))
15769          )
15770         )
15771         (net bOffsetUpdated_reg_n_0 (joined
15772          (portref I1 (instanceref bRegPortOutLcl_Data__8__i_2))
15773          (portref I4 (instanceref bOffsetUpdated_i_1))
15774          (portref Q (instanceref bOffsetUpdated_reg))
15775          )
15776         )
15777         (net bOffsetsValid (joined
15778          (portref D (instanceref OffsetsValidDs_DoubleSyncSlAsyncInx_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
15779          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_oDataValid_reg))
15780          )
15781         )
15782         (net bOffsetsValidSyncReset (joined
15783          (portref I3 (instanceref bOffsetUpdated_i_1))
15784          (portref Q (instanceref OffsetsValidDs_DoubleSyncSlAsyncInx_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
15785          )
15786         )
15787         (net (rename bOffsets_0_ "bOffsets[0]") (joined
15788          (portref D (instanceref OffsetsDsGen_0__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
15789          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
15790          )
15791         )
15792         (net (rename bOffsets_10_ "bOffsets[10]") (joined
15793          (portref D (instanceref OffsetsDsGen_10__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
15794          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
15795          )
15796         )
15797         (net (rename bOffsets_11_ "bOffsets[11]") (joined
15798          (portref D (instanceref OffsetsDsGen_11__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
15799          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
15800          )
15801         )
15802         (net (rename bOffsets_12_ "bOffsets[12]") (joined
15803          (portref D (instanceref OffsetsDsGen_12__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
15804          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
15805          )
15806         )
15807         (net (rename bOffsets_13_ "bOffsets[13]") (joined
15808          (portref D (instanceref OffsetsDsGen_13__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
15809          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
15810          )
15811         )
15812         (net (rename bOffsets_14_ "bOffsets[14]") (joined
15813          (portref D (instanceref OffsetsDsGen_14__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
15814          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
15815          )
15816         )
15817         (net (rename bOffsets_15_ "bOffsets[15]") (joined
15818          (portref D (instanceref OffsetsDsGen_15__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
15819          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
15820          )
15821         )
15822         (net (rename bOffsets_16_ "bOffsets[16]") (joined
15823          (portref D (instanceref OffsetsDsGen_16__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
15824          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
15825          )
15826         )
15827         (net (rename bOffsets_17_ "bOffsets[17]") (joined
15828          (portref D (instanceref OffsetsDsGen_17__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
15829          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
15830          )
15831         )
15832         (net (rename bOffsets_18_ "bOffsets[18]") (joined
15833          (portref D (instanceref OffsetsDsGen_18__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
15834          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
15835          )
15836         )
15837         (net (rename bOffsets_19_ "bOffsets[19]") (joined
15838          (portref D (instanceref OffsetsDsGen_19__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
15839          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
15840          )
15841         )
15842         (net (rename bOffsets_1_ "bOffsets[1]") (joined
15843          (portref D (instanceref OffsetsDsGen_1__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
15844          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
15845          )
15846         )
15847         (net (rename bOffsets_20_ "bOffsets[20]") (joined
15848          (portref D (instanceref OffsetsDsGen_20__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
15849          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
15850          )
15851         )
15852         (net (rename bOffsets_21_ "bOffsets[21]") (joined
15853          (portref D (instanceref OffsetsDsGen_21__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
15854          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
15855          )
15856         )
15857         (net (rename bOffsets_22_ "bOffsets[22]") (joined
15858          (portref D (instanceref OffsetsDsGen_22__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
15859          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
15860          )
15861         )
15862         (net (rename bOffsets_23_ "bOffsets[23]") (joined
15863          (portref D (instanceref OffsetsDsGen_23__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
15864          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
15865          )
15866         )
15867         (net (rename bOffsets_24_ "bOffsets[24]") (joined
15868          (portref D (instanceref OffsetsDsGen_24__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
15869          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
15870          )
15871         )
15872         (net (rename bOffsets_25_ "bOffsets[25]") (joined
15873          (portref D (instanceref OffsetsDsGen_25__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
15874          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
15875          )
15876         )
15877         (net (rename bOffsets_26_ "bOffsets[26]") (joined
15878          (portref D (instanceref OffsetsDsGen_26__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
15879          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
15880          )
15881         )
15882         (net (rename bOffsets_27_ "bOffsets[27]") (joined
15883          (portref D (instanceref OffsetsDsGen_27__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
15884          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
15885          )
15886         )
15887         (net (rename bOffsets_28_ "bOffsets[28]") (joined
15888          (portref D (instanceref OffsetsDsGen_28__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
15889          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
15890          )
15891         )
15892         (net (rename bOffsets_29_ "bOffsets[29]") (joined
15893          (portref D (instanceref OffsetsDsGen_29__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
15894          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
15895          )
15896         )
15897         (net (rename bOffsets_2_ "bOffsets[2]") (joined
15898          (portref D (instanceref OffsetsDsGen_2__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
15899          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
15900          )
15901         )
15902         (net (rename bOffsets_30_ "bOffsets[30]") (joined
15903          (portref D (instanceref OffsetsDsGen_30__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
15904          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
15905          )
15906         )
15907         (net (rename bOffsets_31_ "bOffsets[31]") (joined
15908          (portref D (instanceref OffsetsDsGen_31__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
15909          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_31__DFlopx_Gen0_FDCEx))
15910          )
15911         )
15912         (net (rename bOffsets_32_ "bOffsets[32]") (joined
15913          (portref D (instanceref OffsetsDsGen_32__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
15914          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_32__DFlopx_Gen0_FDCEx))
15915          )
15916         )
15917         (net (rename bOffsets_33_ "bOffsets[33]") (joined
15918          (portref D (instanceref OffsetsDsGen_33__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
15919          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_33__DFlopx_Gen0_FDCEx))
15920          )
15921         )
15922         (net (rename bOffsets_34_ "bOffsets[34]") (joined
15923          (portref D (instanceref OffsetsDsGen_34__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
15924          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_34__DFlopx_Gen0_FDCEx))
15925          )
15926         )
15927         (net (rename bOffsets_35_ "bOffsets[35]") (joined
15928          (portref D (instanceref OffsetsDsGen_35__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
15929          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_35__DFlopx_Gen0_FDCEx))
15930          )
15931         )
15932         (net (rename bOffsets_36_ "bOffsets[36]") (joined
15933          (portref D (instanceref OffsetsDsGen_36__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
15934          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_36__DFlopx_Gen0_FDCEx))
15935          )
15936         )
15937         (net (rename bOffsets_37_ "bOffsets[37]") (joined
15938          (portref D (instanceref OffsetsDsGen_37__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
15939          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_37__DFlopx_Gen0_FDCEx))
15940          )
15941         )
15942         (net (rename bOffsets_38_ "bOffsets[38]") (joined
15943          (portref D (instanceref OffsetsDsGen_38__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
15944          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_38__DFlopx_Gen0_FDCEx))
15945          )
15946         )
15947         (net (rename bOffsets_39_ "bOffsets[39]") (joined
15948          (portref D (instanceref OffsetsDsGen_39__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
15949          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_39__DFlopx_Gen0_FDCEx))
15950          )
15951         )
15952         (net (rename bOffsets_3_ "bOffsets[3]") (joined
15953          (portref D (instanceref OffsetsDsGen_3__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
15954          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
15955          )
15956         )
15957         (net (rename bOffsets_40_ "bOffsets[40]") (joined
15958          (portref D (instanceref OffsetsDsGen_40__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
15959          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_40__DFlopx_Gen0_FDCEx))
15960          )
15961         )
15962         (net (rename bOffsets_41_ "bOffsets[41]") (joined
15963          (portref D (instanceref OffsetsDsGen_41__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
15964          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_41__DFlopx_Gen0_FDCEx))
15965          )
15966         )
15967         (net (rename bOffsets_42_ "bOffsets[42]") (joined
15968          (portref D (instanceref OffsetsDsGen_42__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
15969          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_42__DFlopx_Gen0_FDCEx))
15970          )
15971         )
15972         (net (rename bOffsets_43_ "bOffsets[43]") (joined
15973          (portref D (instanceref OffsetsDsGen_43__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
15974          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_43__DFlopx_Gen0_FDCEx))
15975          )
15976         )
15977         (net (rename bOffsets_44_ "bOffsets[44]") (joined
15978          (portref D (instanceref OffsetsDsGen_44__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
15979          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_44__DFlopx_Gen0_FDCEx))
15980          )
15981         )
15982         (net (rename bOffsets_45_ "bOffsets[45]") (joined
15983          (portref D (instanceref OffsetsDsGen_45__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
15984          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_45__DFlopx_Gen0_FDCEx))
15985          )
15986         )
15987         (net (rename bOffsets_46_ "bOffsets[46]") (joined
15988          (portref D (instanceref OffsetsDsGen_46__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
15989          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_46__DFlopx_Gen0_FDCEx))
15990          )
15991         )
15992         (net (rename bOffsets_47_ "bOffsets[47]") (joined
15993          (portref D (instanceref OffsetsDsGen_47__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
15994          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_47__DFlopx_Gen0_FDCEx))
15995          )
15996         )
15997         (net (rename bOffsets_48_ "bOffsets[48]") (joined
15998          (portref D (instanceref OffsetsDsGen_48__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
15999          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_48__DFlopx_Gen0_FDCEx))
16000          )
16001         )
16002         (net (rename bOffsets_49_ "bOffsets[49]") (joined
16003          (portref D (instanceref OffsetsDsGen_49__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16004          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_49__DFlopx_Gen0_FDCEx))
16005          )
16006         )
16007         (net (rename bOffsets_4_ "bOffsets[4]") (joined
16008          (portref D (instanceref OffsetsDsGen_4__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16009          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
16010          )
16011         )
16012         (net (rename bOffsets_50_ "bOffsets[50]") (joined
16013          (portref D (instanceref OffsetsDsGen_50__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16014          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_50__DFlopx_Gen0_FDCEx))
16015          )
16016         )
16017         (net (rename bOffsets_51_ "bOffsets[51]") (joined
16018          (portref D (instanceref OffsetsDsGen_51__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16019          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_51__DFlopx_Gen0_FDCEx))
16020          )
16021         )
16022         (net (rename bOffsets_52_ "bOffsets[52]") (joined
16023          (portref D (instanceref OffsetsDsGen_52__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16024          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_52__DFlopx_Gen0_FDCEx))
16025          )
16026         )
16027         (net (rename bOffsets_53_ "bOffsets[53]") (joined
16028          (portref D (instanceref OffsetsDsGen_53__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16029          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_53__DFlopx_Gen0_FDCEx))
16030          )
16031         )
16032         (net (rename bOffsets_54_ "bOffsets[54]") (joined
16033          (portref D (instanceref OffsetsDsGen_54__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16034          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_54__DFlopx_Gen0_FDCEx))
16035          )
16036         )
16037         (net (rename bOffsets_55_ "bOffsets[55]") (joined
16038          (portref D (instanceref OffsetsDsGen_55__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16039          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_55__DFlopx_Gen0_FDCEx))
16040          )
16041         )
16042         (net (rename bOffsets_56_ "bOffsets[56]") (joined
16043          (portref D (instanceref OffsetsDsGen_56__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16044          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_56__DFlopx_Gen0_FDCEx))
16045          )
16046         )
16047         (net (rename bOffsets_57_ "bOffsets[57]") (joined
16048          (portref D (instanceref OffsetsDsGen_57__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16049          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_57__DFlopx_Gen0_FDCEx))
16050          )
16051         )
16052         (net (rename bOffsets_58_ "bOffsets[58]") (joined
16053          (portref D (instanceref OffsetsDsGen_58__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16054          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_58__DFlopx_Gen0_FDCEx))
16055          )
16056         )
16057         (net (rename bOffsets_59_ "bOffsets[59]") (joined
16058          (portref D (instanceref OffsetsDsGen_59__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16059          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_59__DFlopx_Gen0_FDCEx))
16060          )
16061         )
16062         (net (rename bOffsets_5_ "bOffsets[5]") (joined
16063          (portref D (instanceref OffsetsDsGen_5__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16064          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
16065          )
16066         )
16067         (net (rename bOffsets_60_ "bOffsets[60]") (joined
16068          (portref D (instanceref OffsetsDsGen_60__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16069          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_60__DFlopx_Gen0_FDCEx))
16070          )
16071         )
16072         (net (rename bOffsets_61_ "bOffsets[61]") (joined
16073          (portref D (instanceref OffsetsDsGen_61__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16074          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_61__DFlopx_Gen0_FDCEx))
16075          )
16076         )
16077         (net (rename bOffsets_62_ "bOffsets[62]") (joined
16078          (portref D (instanceref OffsetsDsGen_62__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16079          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_62__DFlopx_Gen0_FDCEx))
16080          )
16081         )
16082         (net (rename bOffsets_63_ "bOffsets[63]") (joined
16083          (portref D (instanceref OffsetsDsGen_63__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16084          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_63__DFlopx_Gen0_FDCEx))
16085          )
16086         )
16087         (net (rename bOffsets_64_ "bOffsets[64]") (joined
16088          (portref D (instanceref OffsetsDsGen_64__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16089          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_64__DFlopx_Gen0_FDCEx))
16090          )
16091         )
16092         (net (rename bOffsets_65_ "bOffsets[65]") (joined
16093          (portref D (instanceref OffsetsDsGen_65__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16094          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_65__DFlopx_Gen0_FDCEx))
16095          )
16096         )
16097         (net (rename bOffsets_66_ "bOffsets[66]") (joined
16098          (portref D (instanceref OffsetsDsGen_66__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16099          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_66__DFlopx_Gen0_FDCEx))
16100          )
16101         )
16102         (net (rename bOffsets_67_ "bOffsets[67]") (joined
16103          (portref D (instanceref OffsetsDsGen_67__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16104          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_67__DFlopx_Gen0_FDCEx))
16105          )
16106         )
16107         (net (rename bOffsets_68_ "bOffsets[68]") (joined
16108          (portref D (instanceref OffsetsDsGen_68__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16109          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_68__DFlopx_Gen0_FDCEx))
16110          )
16111         )
16112         (net (rename bOffsets_69_ "bOffsets[69]") (joined
16113          (portref D (instanceref OffsetsDsGen_69__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16114          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_69__DFlopx_Gen0_FDCEx))
16115          )
16116         )
16117         (net (rename bOffsets_6_ "bOffsets[6]") (joined
16118          (portref D (instanceref OffsetsDsGen_6__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16119          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
16120          )
16121         )
16122         (net (rename bOffsets_70_ "bOffsets[70]") (joined
16123          (portref D (instanceref OffsetsDsGen_70__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16124          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_70__DFlopx_Gen0_FDCEx))
16125          )
16126         )
16127         (net (rename bOffsets_71_ "bOffsets[71]") (joined
16128          (portref D (instanceref OffsetsDsGen_71__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16129          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_71__DFlopx_Gen0_FDCEx))
16130          )
16131         )
16132         (net (rename bOffsets_72_ "bOffsets[72]") (joined
16133          (portref D (instanceref OffsetsDsGen_72__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16134          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_72__DFlopx_Gen0_FDCEx))
16135          )
16136         )
16137         (net (rename bOffsets_73_ "bOffsets[73]") (joined
16138          (portref D (instanceref OffsetsDsGen_73__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16139          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_73__DFlopx_Gen0_FDCEx))
16140          )
16141         )
16142         (net (rename bOffsets_74_ "bOffsets[74]") (joined
16143          (portref D (instanceref OffsetsDsGen_74__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16144          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_74__DFlopx_Gen0_FDCEx))
16145          )
16146         )
16147         (net (rename bOffsets_75_ "bOffsets[75]") (joined
16148          (portref D (instanceref OffsetsDsGen_75__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16149          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_75__DFlopx_Gen0_FDCEx))
16150          )
16151         )
16152         (net (rename bOffsets_76_ "bOffsets[76]") (joined
16153          (portref D (instanceref OffsetsDsGen_76__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16154          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_76__DFlopx_Gen0_FDCEx))
16155          )
16156         )
16157         (net (rename bOffsets_77_ "bOffsets[77]") (joined
16158          (portref D (instanceref OffsetsDsGen_77__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16159          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_77__DFlopx_Gen0_FDCEx))
16160          )
16161         )
16162         (net (rename bOffsets_78_ "bOffsets[78]") (joined
16163          (portref D (instanceref OffsetsDsGen_78__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16164          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_78__DFlopx_Gen0_FDCEx))
16165          )
16166         )
16167         (net (rename bOffsets_79_ "bOffsets[79]") (joined
16168          (portref D (instanceref OffsetsDsGen_79__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16169          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_79__DFlopx_Gen0_FDCEx))
16170          )
16171         )
16172         (net (rename bOffsets_7_ "bOffsets[7]") (joined
16173          (portref D (instanceref OffsetsDsGen_7__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16174          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
16175          )
16176         )
16177         (net (rename bOffsets_8_ "bOffsets[8]") (joined
16178          (portref D (instanceref OffsetsDsGen_8__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16179          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
16180          )
16181         )
16182         (net (rename bOffsets_9_ "bOffsets[9]") (joined
16183          (portref D (instanceref OffsetsDsGen_9__OffsetsDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16184          (portref Q (instanceref IncomingOffsetHs_HBx_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
16185          )
16186         )
16187         (net (rename bPpsClkCrossDelayVal_1__i_1_n_0 "bPpsClkCrossDelayVal[1]_i_1_n_0") (joined
16188          (portref D (instanceref bPpsClkCrossDelayVal_reg_1_))
16189          (portref D (instanceref bScratch_reg_17_))
16190          (portref O (instanceref bPpsClkCrossDelayVal_1__i_1))
16191          )
16192         )
16193         (net (rename bPpsClkCrossDelayVal_2__i_1_n_0 "bPpsClkCrossDelayVal[2]_i_1_n_0") (joined
16194          (portref D (instanceref bPpsClkCrossDelayVal_reg_2_))
16195          (portref D (instanceref bScratch_reg_18_))
16196          (portref O (instanceref bPpsClkCrossDelayVal_2__i_1))
16197          )
16198         )
16199         (net (rename bPpsClkCrossDelayVal_3__i_1_n_0 "bPpsClkCrossDelayVal[3]_i_1_n_0") (joined
16200          (portref CE (instanceref bPpsClkCrossDelayVal_reg_0_))
16201          (portref CE (instanceref bPpsClkCrossDelayVal_reg_1_))
16202          (portref CE (instanceref bPpsClkCrossDelayVal_reg_2_))
16203          (portref CE (instanceref bPpsClkCrossDelayVal_reg_3_))
16204          (portref O (instanceref bPpsClkCrossDelayVal_3__i_1))
16205          )
16206         )
16207         (net (rename bPpsClkCrossDelayVal_3__i_3_n_0 "bPpsClkCrossDelayVal[3]_i_3_n_0") (joined
16208          (portref I1 (instanceref bPpsClkCrossDelayVal_3__i_1))
16209          (portref I1 (instanceref bPulserEnableDelayVal_3__i_1))
16210          (portref I4 (instanceref bEnableTdc_i_1))
16211          (portref I4 (instanceref bPpsClkCrossEn_i_1))
16212          (portref I4 (instanceref bReRunEnable_i_1))
16213          (portref I4 (instanceref bResetTdc_i_1))
16214          (portref O (instanceref bPpsClkCrossDelayVal_3__i_3))
16215          )
16216         )
16217         (net (rename bPpsClkCrossDelayVal_reg_n_0__0_ "bPpsClkCrossDelayVal_reg_n_0_[0]") (joined
16218          (portref D (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_0_))
16219          (portref I2 (instanceref bRegPortOutLcl_Data__16__i_1))
16220          (portref Q (instanceref bPpsClkCrossDelayVal_reg_0_))
16221          )
16222         )
16223         (net (rename bPpsClkCrossDelayVal_reg_n_0__1_ "bPpsClkCrossDelayVal_reg_n_0_[1]") (joined
16224          (portref D (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_1_))
16225          (portref I1 (instanceref bRegPortOutLcl_Data__17__i_3))
16226          (portref Q (instanceref bPpsClkCrossDelayVal_reg_1_))
16227          )
16228         )
16229         (net (rename bPpsClkCrossDelayVal_reg_n_0__2_ "bPpsClkCrossDelayVal_reg_n_0_[2]") (joined
16230          (portref D (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_2_))
16231          (portref I1 (instanceref bRegPortOutLcl_Data__18__i_3))
16232          (portref Q (instanceref bPpsClkCrossDelayVal_reg_2_))
16233          )
16234         )
16235         (net (rename bPpsClkCrossDelayVal_reg_n_0__3_ "bPpsClkCrossDelayVal_reg_n_0_[3]") (joined
16236          (portref D (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_3_))
16237          (portref I1 (instanceref bRegPortOutLcl_Data__19__i_1))
16238          (portref Q (instanceref bPpsClkCrossDelayVal_reg_3_))
16239          )
16240         )
16241         (net bPpsClkCrossEn (joined
16242          (portref D (instanceref bScratch_reg_12_))
16243          (portref O (instanceref bScratch_12__i_1))
16244          )
16245         )
16246         (net bPpsClkCrossEn_i_1_n_0 (joined
16247          (portref D (instanceref bPpsClkCrossEn_reg))
16248          (portref O (instanceref bPpsClkCrossEn_i_1))
16249          )
16250         )
16251         (net bPpsClkCrossEn_reg_n_0 (joined
16252          (portref D (instanceref PpsCrossEnDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
16253          (portref I1 (instanceref bRegPortOutLcl_Data__12__i_3))
16254          (portref I1 (instanceref bRegPortOutLcl_Data__13__i_1))
16255          (portref I5 (instanceref bPpsClkCrossEn_i_1))
16256          (portref Q (instanceref bPpsClkCrossEn_reg))
16257          )
16258         )
16259         (net bPpsPulseCaptured (joined
16260          (portref I0 (instanceref bRegPortOutLcl_Data__4__i_6))
16261          (portref Q (instanceref PpsCapturedDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16262          )
16263         )
16264         (net (rename bPulserEnableDelayVal_0__i_1_n_0 "bPulserEnableDelayVal[0]_i_1_n_0") (joined
16265          (portref D (instanceref bPulserEnableDelayVal_reg_0_))
16266          (portref O (instanceref bPulserEnableDelayVal_0__i_1))
16267          )
16268         )
16269         (net (rename bPulserEnableDelayVal_2__i_1_n_0 "bPulserEnableDelayVal[2]_i_1_n_0") (joined
16270          (portref D (instanceref bPulserEnableDelayVal_reg_2_))
16271          (portref D (instanceref bScratch_reg_26_))
16272          (portref O (instanceref bPulserEnableDelayVal_2__i_1))
16273          )
16274         )
16275         (net (rename bPulserEnableDelayVal_3__i_1_n_0 "bPulserEnableDelayVal[3]_i_1_n_0") (joined
16276          (portref CE (instanceref bPulserEnableDelayVal_reg_0_))
16277          (portref CE (instanceref bPulserEnableDelayVal_reg_1_))
16278          (portref CE (instanceref bPulserEnableDelayVal_reg_2_))
16279          (portref CE (instanceref bPulserEnableDelayVal_reg_3_))
16280          (portref O (instanceref bPulserEnableDelayVal_3__i_1))
16281          )
16282         )
16283         (net (rename bPulserEnableDelayVal_3__i_2_n_0 "bPulserEnableDelayVal[3]_i_2_n_0") (joined
16284          (portref D (instanceref bPulserEnableDelayVal_reg_3_))
16285          (portref D (instanceref bScratch_reg_27_))
16286          (portref O (instanceref bPulserEnableDelayVal_3__i_2))
16287          )
16288         )
16289         (net (rename bPulserEnableDelayVal_reg_n_0__0_ "bPulserEnableDelayVal_reg_n_0_[0]") (joined
16290          (portref D (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_0_))
16291          (portref I1 (instanceref bRegPortOutLcl_Data__24__i_1))
16292          (portref Q (instanceref bPulserEnableDelayVal_reg_0_))
16293          )
16294         )
16295         (net (rename bPulserEnableDelayVal_reg_n_0__1_ "bPulserEnableDelayVal_reg_n_0_[1]") (joined
16296          (portref D (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_1_))
16297          (portref I1 (instanceref bRegPortOutLcl_Data__25__i_1))
16298          (portref Q (instanceref bPulserEnableDelayVal_reg_1_))
16299          )
16300         )
16301         (net (rename bPulserEnableDelayVal_reg_n_0__2_ "bPulserEnableDelayVal_reg_n_0_[2]") (joined
16302          (portref D (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_2_))
16303          (portref I1 (instanceref bRegPortOutLcl_Data__26__i_4))
16304          (portref Q (instanceref bPulserEnableDelayVal_reg_2_))
16305          )
16306         )
16307         (net (rename bPulserEnableDelayVal_reg_n_0__3_ "bPulserEnableDelayVal_reg_n_0_[3]") (joined
16308          (portref D (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iLclStoredData_reg_3_))
16309          (portref I1 (instanceref bRegPortOutLcl_Data__27__i_5))
16310          (portref Q (instanceref bPulserEnableDelayVal_reg_3_))
16311          )
16312         )
16313         (net bPushPpsDelayVal (joined
16314          (portref D (instanceref bPushPpsDelayVal_reg))
16315          (portref O (instanceref bPushPpsDelayVal_i_1))
16316          )
16317         )
16318         (net bPushPpsDelayVal_i_2_n_0 (joined
16319          (portref I0 (instanceref bPushPpsDelayVal_i_1))
16320          (portref I0 (instanceref bPushPulserEnableDelayVal_i_1))
16321          (portref I0 (instanceref bRegPortOutLcl_Data__31__i_6))
16322          (portref I0 (instanceref bRegPortOutLcl_Data__7__i_6))
16323          (portref I5 (instanceref aTdcResetLcl_i_2))
16324          (portref O (instanceref bPushPpsDelayVal_i_2))
16325          )
16326         )
16327         (net bPushPpsDelayVal_i_3_n_0 (joined
16328          (portref I1 (instanceref bPushPpsDelayVal_i_1))
16329          (portref I1 (instanceref bPushPulserEnableDelayVal_i_1))
16330          (portref O (instanceref bPushPpsDelayVal_i_3))
16331          )
16332         )
16333         (net bPushPpsDelayVal_i_4_n_0 (joined
16334          (portref I0 (instanceref bRegPortOutLcl_Data__30__i_4))
16335          (portref I4 (instanceref bPushPpsDelayVal_i_1))
16336          (portref I4 (instanceref bPushPulserEnableDelayVal_i_1))
16337          (portref I5 (instanceref bRegPortOutLcl_Data__25__i_3))
16338          (portref I5 (instanceref bRegPortOutLcl_Data__27__i_7))
16339          (portref I5 (instanceref bRegPortOutLcl_Data__31__i_11))
16340          (portref O (instanceref bPushPpsDelayVal_i_4))
16341          )
16342         )
16343         (net bPushPpsDelayVal_reg_n_0 (joined
16344          (portref D (instanceref PpsDelayValCrossingHs_HBx_BlkIn_iDlyPush_reg))
16345          (portref I0 (instanceref Gen0_FDCEx_i_1__9))
16346          (portref Q (instanceref bPushPpsDelayVal_reg))
16347          )
16348         )
16349         (net bPushPulserEnableDelayVal (joined
16350          (portref D (instanceref bPushPulserEnableDelayVal_reg))
16351          (portref O (instanceref bPushPulserEnableDelayVal_i_1))
16352          )
16353         )
16354         (net bPushPulserEnableDelayVal_reg_n_0 (joined
16355          (portref D (instanceref PulserEnableDelayValCrossingHs_HBx_BlkIn_iDlyPush_reg))
16356          (portref I0 (instanceref Gen0_FDCEx_i_1__10))
16357          (portref Q (instanceref bPushPulserEnableDelayVal_reg))
16358          )
16359         )
16360         (net (rename bRePulsePeriod1CtrlReadbackSyncReset_0_ "bRePulsePeriod1CtrlReadbackSyncReset[0]") (joined
16361          (portref I3 (instanceref bRegPortOutLcl_Data__0__i_3))
16362          (portref Q (instanceref RePulseCnt1ReadbackDsGen_0__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16363          )
16364         )
16365         (net (rename bRePulsePeriod1CtrlReadbackSyncReset_10_ "bRePulsePeriod1CtrlReadbackSyncReset[10]") (joined
16366          (portref I4 (instanceref bRegPortOutLcl_Data__10__i_4))
16367          (portref Q (instanceref RePulseCnt1ReadbackDsGen_10__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16368          )
16369         )
16370         (net (rename bRePulsePeriod1CtrlReadbackSyncReset_11_ "bRePulsePeriod1CtrlReadbackSyncReset[11]") (joined
16371          (portref I1 (instanceref bRegPortOutLcl_Data__11__i_4))
16372          (portref Q (instanceref RePulseCnt1ReadbackDsGen_11__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16373          )
16374         )
16375         (net (rename bRePulsePeriod1CtrlReadbackSyncReset_12_ "bRePulsePeriod1CtrlReadbackSyncReset[12]") (joined
16376          (portref I3 (instanceref bRegPortOutLcl_Data__12__i_2))
16377          (portref Q (instanceref RePulseCnt1ReadbackDsGen_12__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16378          )
16379         )
16380         (net (rename bRePulsePeriod1CtrlReadbackSyncReset_13_ "bRePulsePeriod1CtrlReadbackSyncReset[13]") (joined
16381          (portref I1 (instanceref bRegPortOutLcl_Data__13__i_2))
16382          (portref Q (instanceref RePulseCnt1ReadbackDsGen_13__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16383          )
16384         )
16385         (net (rename bRePulsePeriod1CtrlReadbackSyncReset_14_ "bRePulsePeriod1CtrlReadbackSyncReset[14]") (joined
16386          (portref I1 (instanceref bRegPortOutLcl_Data__14__i_4))
16387          (portref Q (instanceref RePulseCnt1ReadbackDsGen_14__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16388          )
16389         )
16390         (net (rename bRePulsePeriod1CtrlReadbackSyncReset_15_ "bRePulsePeriod1CtrlReadbackSyncReset[15]") (joined
16391          (portref I1 (instanceref bRegPortOutLcl_Data__15__i_4))
16392          (portref Q (instanceref RePulseCnt1ReadbackDsGen_15__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16393          )
16394         )
16395         (net (rename bRePulsePeriod1CtrlReadbackSyncReset_16_ "bRePulsePeriod1CtrlReadbackSyncReset[16]") (joined
16396          (portref I4 (instanceref bRegPortOutLcl_Data__16__i_2))
16397          (portref Q (instanceref RePulseCnt1ReadbackDsGen_16__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16398          )
16399         )
16400         (net (rename bRePulsePeriod1CtrlReadbackSyncReset_17_ "bRePulsePeriod1CtrlReadbackSyncReset[17]") (joined
16401          (portref I3 (instanceref bRegPortOutLcl_Data__17__i_2))
16402          (portref Q (instanceref RePulseCnt1ReadbackDsGen_17__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16403          )
16404         )
16405         (net (rename bRePulsePeriod1CtrlReadbackSyncReset_18_ "bRePulsePeriod1CtrlReadbackSyncReset[18]") (joined
16406          (portref I3 (instanceref bRegPortOutLcl_Data__18__i_2))
16407          (portref Q (instanceref RePulseCnt1ReadbackDsGen_18__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16408          )
16409         )
16410         (net (rename bRePulsePeriod1CtrlReadbackSyncReset_19_ "bRePulsePeriod1CtrlReadbackSyncReset[19]") (joined
16411          (portref I1 (instanceref bRegPortOutLcl_Data__19__i_2))
16412          (portref Q (instanceref RePulseCnt1ReadbackDsGen_19__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16413          )
16414         )
16415         (net (rename bRePulsePeriod1CtrlReadbackSyncReset_1_ "bRePulsePeriod1CtrlReadbackSyncReset[1]") (joined
16416          (portref I4 (instanceref bRegPortOutLcl_Data__1__i_5))
16417          (portref Q (instanceref RePulseCnt1ReadbackDsGen_1__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16418          )
16419         )
16420         (net (rename bRePulsePeriod1CtrlReadbackSyncReset_20_ "bRePulsePeriod1CtrlReadbackSyncReset[20]") (joined
16421          (portref I1 (instanceref bRegPortOutLcl_Data__20__i_4))
16422          (portref Q (instanceref RePulseCnt1ReadbackDsGen_20__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16423          )
16424         )
16425         (net (rename bRePulsePeriod1CtrlReadbackSyncReset_21_ "bRePulsePeriod1CtrlReadbackSyncReset[21]") (joined
16426          (portref I1 (instanceref bRegPortOutLcl_Data__21__i_4))
16427          (portref Q (instanceref RePulseCnt1ReadbackDsGen_21__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16428          )
16429         )
16430         (net (rename bRePulsePeriod1CtrlReadbackSyncReset_22_ "bRePulsePeriod1CtrlReadbackSyncReset[22]") (joined
16431          (portref I1 (instanceref bRegPortOutLcl_Data__22__i_4))
16432          (portref Q (instanceref RePulseCnt1ReadbackDsGen_22__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16433          )
16434         )
16435         (net (rename bRePulsePeriod1CtrlReadbackSyncReset_23_ "bRePulsePeriod1CtrlReadbackSyncReset[23]") (joined
16436          (portref I1 (instanceref bRegPortOutLcl_Data__23__i_4))
16437          (portref Q (instanceref RePulseCnt1ReadbackDsGen_23__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16438          )
16439         )
16440         (net (rename bRePulsePeriod1CtrlReadbackSyncReset_24_ "bRePulsePeriod1CtrlReadbackSyncReset[24]") (joined
16441          (portref I1 (instanceref bRegPortOutLcl_Data__24__i_2))
16442          (portref Q (instanceref RePulseCnt1ReadbackDsGen_24__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16443          )
16444         )
16445         (net (rename bRePulsePeriod1CtrlReadbackSyncReset_25_ "bRePulsePeriod1CtrlReadbackSyncReset[25]") (joined
16446          (portref I1 (instanceref bRegPortOutLcl_Data__25__i_2))
16447          (portref Q (instanceref RePulseCnt1ReadbackDsGen_25__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16448          )
16449         )
16450         (net (rename bRePulsePeriod1CtrlReadbackSyncReset_26_ "bRePulsePeriod1CtrlReadbackSyncReset[26]") (joined
16451          (portref I3 (instanceref bRegPortOutLcl_Data__26__i_2))
16452          (portref Q (instanceref RePulseCnt1ReadbackDsGen_26__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16453          )
16454         )
16455         (net (rename bRePulsePeriod1CtrlReadbackSyncReset_27_ "bRePulsePeriod1CtrlReadbackSyncReset[27]") (joined
16456          (portref I3 (instanceref bRegPortOutLcl_Data__27__i_2))
16457          (portref Q (instanceref RePulseCnt1ReadbackDsGen_27__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16458          )
16459         )
16460         (net (rename bRePulsePeriod1CtrlReadbackSyncReset_28_ "bRePulsePeriod1CtrlReadbackSyncReset[28]") (joined
16461          (portref I4 (instanceref bRegPortOutLcl_Data__28__i_6))
16462          (portref Q (instanceref RePulseCnt1ReadbackDsGen_28__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16463          )
16464         )
16465         (net (rename bRePulsePeriod1CtrlReadbackSyncReset_29_ "bRePulsePeriod1CtrlReadbackSyncReset[29]") (joined
16466          (portref I1 (instanceref bRegPortOutLcl_Data__29__i_4))
16467          (portref Q (instanceref RePulseCnt1ReadbackDsGen_29__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16468          )
16469         )
16470         (net (rename bRePulsePeriod1CtrlReadbackSyncReset_2_ "bRePulsePeriod1CtrlReadbackSyncReset[2]") (joined
16471          (portref I4 (instanceref bRegPortOutLcl_Data__2__i_3))
16472          (portref Q (instanceref RePulseCnt1ReadbackDsGen_2__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16473          )
16474         )
16475         (net (rename bRePulsePeriod1CtrlReadbackSyncReset_30_ "bRePulsePeriod1CtrlReadbackSyncReset[30]") (joined
16476          (portref I1 (instanceref bRegPortOutLcl_Data__30__i_5))
16477          (portref Q (instanceref RePulseCnt1ReadbackDsGen_30__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16478          )
16479         )
16480         (net (rename bRePulsePeriod1CtrlReadbackSyncReset_31_ "bRePulsePeriod1CtrlReadbackSyncReset[31]") (joined
16481          (portref I1 (instanceref bRegPortOutLcl_Data__31__i_4))
16482          (portref Q (instanceref RePulseCnt1ReadbackDsGen_31__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16483          )
16484         )
16485         (net (rename bRePulsePeriod1CtrlReadbackSyncReset_3_ "bRePulsePeriod1CtrlReadbackSyncReset[3]") (joined
16486          (portref I4 (instanceref bRegPortOutLcl_Data__3__i_5))
16487          (portref Q (instanceref RePulseCnt1ReadbackDsGen_3__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16488          )
16489         )
16490         (net (rename bRePulsePeriod1CtrlReadbackSyncReset_4_ "bRePulsePeriod1CtrlReadbackSyncReset[4]") (joined
16491          (portref I4 (instanceref bRegPortOutLcl_Data__4__i_3))
16492          (portref Q (instanceref RePulseCnt1ReadbackDsGen_4__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16493          )
16494         )
16495         (net (rename bRePulsePeriod1CtrlReadbackSyncReset_5_ "bRePulsePeriod1CtrlReadbackSyncReset[5]") (joined
16496          (portref I4 (instanceref bRegPortOutLcl_Data__5__i_5))
16497          (portref Q (instanceref RePulseCnt1ReadbackDsGen_5__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16498          )
16499         )
16500         (net (rename bRePulsePeriod1CtrlReadbackSyncReset_6_ "bRePulsePeriod1CtrlReadbackSyncReset[6]") (joined
16501          (portref I4 (instanceref bRegPortOutLcl_Data__6__i_3))
16502          (portref Q (instanceref RePulseCnt1ReadbackDsGen_6__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16503          )
16504         )
16505         (net (rename bRePulsePeriod1CtrlReadbackSyncReset_7_ "bRePulsePeriod1CtrlReadbackSyncReset[7]") (joined
16506          (portref I4 (instanceref bRegPortOutLcl_Data__7__i_5))
16507          (portref Q (instanceref RePulseCnt1ReadbackDsGen_7__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16508          )
16509         )
16510         (net (rename bRePulsePeriod1CtrlReadbackSyncReset_8_ "bRePulsePeriod1CtrlReadbackSyncReset[8]") (joined
16511          (portref I4 (instanceref bRegPortOutLcl_Data__8__i_5))
16512          (portref Q (instanceref RePulseCnt1ReadbackDsGen_8__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16513          )
16514         )
16515         (net (rename bRePulsePeriod1CtrlReadbackSyncReset_9_ "bRePulsePeriod1CtrlReadbackSyncReset[9]") (joined
16516          (portref I4 (instanceref bRegPortOutLcl_Data__9__i_2))
16517          (portref Q (instanceref RePulseCnt1ReadbackDsGen_9__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16518          )
16519         )
16520         (net (rename bRePulsePeriod1CtrlReadback_0_ "bRePulsePeriod1CtrlReadback[0]") (joined
16521          (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
16522          (portref D (instanceref RePulseCnt1ReadbackDsGen_0__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16523          (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_0_))
16524          )
16525         )
16526         (net (rename bRePulsePeriod1CtrlReadback_10_ "bRePulsePeriod1CtrlReadback[10]") (joined
16527          (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
16528          (portref D (instanceref RePulseCnt1ReadbackDsGen_10__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16529          (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_10_))
16530          )
16531         )
16532         (net (rename bRePulsePeriod1CtrlReadback_11_ "bRePulsePeriod1CtrlReadback[11]") (joined
16533          (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
16534          (portref D (instanceref RePulseCnt1ReadbackDsGen_11__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16535          (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_11_))
16536          )
16537         )
16538         (net (rename bRePulsePeriod1CtrlReadback_12_ "bRePulsePeriod1CtrlReadback[12]") (joined
16539          (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
16540          (portref D (instanceref RePulseCnt1ReadbackDsGen_12__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16541          (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_12_))
16542          )
16543         )
16544         (net (rename bRePulsePeriod1CtrlReadback_13_ "bRePulsePeriod1CtrlReadback[13]") (joined
16545          (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
16546          (portref D (instanceref RePulseCnt1ReadbackDsGen_13__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16547          (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_13_))
16548          )
16549         )
16550         (net (rename bRePulsePeriod1CtrlReadback_14_ "bRePulsePeriod1CtrlReadback[14]") (joined
16551          (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
16552          (portref D (instanceref RePulseCnt1ReadbackDsGen_14__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16553          (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_14_))
16554          )
16555         )
16556         (net (rename bRePulsePeriod1CtrlReadback_15_ "bRePulsePeriod1CtrlReadback[15]") (joined
16557          (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
16558          (portref D (instanceref RePulseCnt1ReadbackDsGen_15__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16559          (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_15_))
16560          )
16561         )
16562         (net (rename bRePulsePeriod1CtrlReadback_16_ "bRePulsePeriod1CtrlReadback[16]") (joined
16563          (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
16564          (portref D (instanceref RePulseCnt1ReadbackDsGen_16__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16565          (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_16_))
16566          )
16567         )
16568         (net (rename bRePulsePeriod1CtrlReadback_17_ "bRePulsePeriod1CtrlReadback[17]") (joined
16569          (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
16570          (portref D (instanceref RePulseCnt1ReadbackDsGen_17__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16571          (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_17_))
16572          )
16573         )
16574         (net (rename bRePulsePeriod1CtrlReadback_18_ "bRePulsePeriod1CtrlReadback[18]") (joined
16575          (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
16576          (portref D (instanceref RePulseCnt1ReadbackDsGen_18__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16577          (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_18_))
16578          )
16579         )
16580         (net (rename bRePulsePeriod1CtrlReadback_19_ "bRePulsePeriod1CtrlReadback[19]") (joined
16581          (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
16582          (portref D (instanceref RePulseCnt1ReadbackDsGen_19__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16583          (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_19_))
16584          )
16585         )
16586         (net (rename bRePulsePeriod1CtrlReadback_1_ "bRePulsePeriod1CtrlReadback[1]") (joined
16587          (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
16588          (portref D (instanceref RePulseCnt1ReadbackDsGen_1__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16589          (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_1_))
16590          )
16591         )
16592         (net (rename bRePulsePeriod1CtrlReadback_20_ "bRePulsePeriod1CtrlReadback[20]") (joined
16593          (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
16594          (portref D (instanceref RePulseCnt1ReadbackDsGen_20__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16595          (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_20_))
16596          )
16597         )
16598         (net (rename bRePulsePeriod1CtrlReadback_21_ "bRePulsePeriod1CtrlReadback[21]") (joined
16599          (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
16600          (portref D (instanceref RePulseCnt1ReadbackDsGen_21__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16601          (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_21_))
16602          )
16603         )
16604         (net (rename bRePulsePeriod1CtrlReadback_22_ "bRePulsePeriod1CtrlReadback[22]") (joined
16605          (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
16606          (portref D (instanceref RePulseCnt1ReadbackDsGen_22__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16607          (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_22_))
16608          )
16609         )
16610         (net (rename bRePulsePeriod1CtrlReadback_23_ "bRePulsePeriod1CtrlReadback[23]") (joined
16611          (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
16612          (portref D (instanceref RePulseCnt1ReadbackDsGen_23__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16613          (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_23_))
16614          )
16615         )
16616         (net (rename bRePulsePeriod1CtrlReadback_24_ "bRePulsePeriod1CtrlReadback[24]") (joined
16617          (portref D (instanceref RePulseCnt1ReadbackDsGen_24__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16618          (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_24_))
16619          )
16620         )
16621         (net (rename bRePulsePeriod1CtrlReadback_25_ "bRePulsePeriod1CtrlReadback[25]") (joined
16622          (portref D (instanceref RePulseCnt1ReadbackDsGen_25__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16623          (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_25_))
16624          )
16625         )
16626         (net (rename bRePulsePeriod1CtrlReadback_26_ "bRePulsePeriod1CtrlReadback[26]") (joined
16627          (portref D (instanceref RePulseCnt1ReadbackDsGen_26__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16628          (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_26_))
16629          )
16630         )
16631         (net (rename bRePulsePeriod1CtrlReadback_27_ "bRePulsePeriod1CtrlReadback[27]") (joined
16632          (portref D (instanceref RePulseCnt1ReadbackDsGen_27__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16633          (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_27_))
16634          )
16635         )
16636         (net (rename bRePulsePeriod1CtrlReadback_28_ "bRePulsePeriod1CtrlReadback[28]") (joined
16637          (portref D (instanceref RePulseCnt1ReadbackDsGen_28__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16638          (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_28_))
16639          )
16640         )
16641         (net (rename bRePulsePeriod1CtrlReadback_29_ "bRePulsePeriod1CtrlReadback[29]") (joined
16642          (portref D (instanceref RePulseCnt1ReadbackDsGen_29__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16643          (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_29_))
16644          )
16645         )
16646         (net (rename bRePulsePeriod1CtrlReadback_2_ "bRePulsePeriod1CtrlReadback[2]") (joined
16647          (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
16648          (portref D (instanceref RePulseCnt1ReadbackDsGen_2__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16649          (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_2_))
16650          )
16651         )
16652         (net (rename bRePulsePeriod1CtrlReadback_30_ "bRePulsePeriod1CtrlReadback[30]") (joined
16653          (portref D (instanceref RePulseCnt1ReadbackDsGen_30__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16654          (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_30_))
16655          )
16656         )
16657         (net (rename bRePulsePeriod1CtrlReadback_31_ "bRePulsePeriod1CtrlReadback[31]") (joined
16658          (portref D (instanceref RePulseCnt1ReadbackDsGen_31__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16659          (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_31_))
16660          )
16661         )
16662         (net (rename bRePulsePeriod1CtrlReadback_3_ "bRePulsePeriod1CtrlReadback[3]") (joined
16663          (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
16664          (portref D (instanceref RePulseCnt1ReadbackDsGen_3__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16665          (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_3_))
16666          )
16667         )
16668         (net (rename bRePulsePeriod1CtrlReadback_4_ "bRePulsePeriod1CtrlReadback[4]") (joined
16669          (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
16670          (portref D (instanceref RePulseCnt1ReadbackDsGen_4__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16671          (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_4_))
16672          )
16673         )
16674         (net (rename bRePulsePeriod1CtrlReadback_5_ "bRePulsePeriod1CtrlReadback[5]") (joined
16675          (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
16676          (portref D (instanceref RePulseCnt1ReadbackDsGen_5__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16677          (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_5_))
16678          )
16679         )
16680         (net (rename bRePulsePeriod1CtrlReadback_6_ "bRePulsePeriod1CtrlReadback[6]") (joined
16681          (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
16682          (portref D (instanceref RePulseCnt1ReadbackDsGen_6__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16683          (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_6_))
16684          )
16685         )
16686         (net (rename bRePulsePeriod1CtrlReadback_7_ "bRePulsePeriod1CtrlReadback[7]") (joined
16687          (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
16688          (portref D (instanceref RePulseCnt1ReadbackDsGen_7__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16689          (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_7_))
16690          )
16691         )
16692         (net (rename bRePulsePeriod1CtrlReadback_8_ "bRePulsePeriod1CtrlReadback[8]") (joined
16693          (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
16694          (portref D (instanceref RePulseCnt1ReadbackDsGen_8__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16695          (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_8_))
16696          )
16697         )
16698         (net (rename bRePulsePeriod1CtrlReadback_9_ "bRePulsePeriod1CtrlReadback[9]") (joined
16699          (portref D (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
16700          (portref D (instanceref RePulseCnt1ReadbackDsGen_9__RePulse1ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16701          (portref Q (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_9_))
16702          )
16703         )
16704         (net (rename bRePulsePeriod2CtrlReadbackSyncReset_0_ "bRePulsePeriod2CtrlReadbackSyncReset[0]") (joined
16705          (portref I4 (instanceref bRegPortOutLcl_Data__0__i_3))
16706          (portref Q (instanceref RePulseCnt2ReadbackDsGen_0__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16707          )
16708         )
16709         (net (rename bRePulsePeriod2CtrlReadbackSyncReset_10_ "bRePulsePeriod2CtrlReadbackSyncReset[10]") (joined
16710          (portref I3 (instanceref bRegPortOutLcl_Data__10__i_1))
16711          (portref Q (instanceref RePulseCnt2ReadbackDsGen_10__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16712          )
16713         )
16714         (net (rename bRePulsePeriod2CtrlReadbackSyncReset_11_ "bRePulsePeriod2CtrlReadbackSyncReset[11]") (joined
16715          (portref I3 (instanceref bRegPortOutLcl_Data__11__i_4))
16716          (portref Q (instanceref RePulseCnt2ReadbackDsGen_11__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16717          )
16718         )
16719         (net (rename bRePulsePeriod2CtrlReadbackSyncReset_12_ "bRePulsePeriod2CtrlReadbackSyncReset[12]") (joined
16720          (portref I4 (instanceref bRegPortOutLcl_Data__12__i_2))
16721          (portref Q (instanceref RePulseCnt2ReadbackDsGen_12__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16722          )
16723         )
16724         (net (rename bRePulsePeriod2CtrlReadbackSyncReset_13_ "bRePulsePeriod2CtrlReadbackSyncReset[13]") (joined
16725          (portref I3 (instanceref bRegPortOutLcl_Data__13__i_2))
16726          (portref Q (instanceref RePulseCnt2ReadbackDsGen_13__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16727          )
16728         )
16729         (net (rename bRePulsePeriod2CtrlReadbackSyncReset_14_ "bRePulsePeriod2CtrlReadbackSyncReset[14]") (joined
16730          (portref I3 (instanceref bRegPortOutLcl_Data__14__i_4))
16731          (portref Q (instanceref RePulseCnt2ReadbackDsGen_14__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16732          )
16733         )
16734         (net (rename bRePulsePeriod2CtrlReadbackSyncReset_15_ "bRePulsePeriod2CtrlReadbackSyncReset[15]") (joined
16735          (portref I3 (instanceref bRegPortOutLcl_Data__15__i_4))
16736          (portref Q (instanceref RePulseCnt2ReadbackDsGen_15__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16737          )
16738         )
16739         (net (rename bRePulsePeriod2CtrlReadbackSyncReset_16_ "bRePulsePeriod2CtrlReadbackSyncReset[16]") (joined
16740          (portref I4 (instanceref bRegPortOutLcl_Data__16__i_3))
16741          (portref Q (instanceref RePulseCnt2ReadbackDsGen_16__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16742          )
16743         )
16744         (net (rename bRePulsePeriod2CtrlReadbackSyncReset_17_ "bRePulsePeriod2CtrlReadbackSyncReset[17]") (joined
16745          (portref I4 (instanceref bRegPortOutLcl_Data__17__i_2))
16746          (portref Q (instanceref RePulseCnt2ReadbackDsGen_17__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16747          )
16748         )
16749         (net (rename bRePulsePeriod2CtrlReadbackSyncReset_18_ "bRePulsePeriod2CtrlReadbackSyncReset[18]") (joined
16750          (portref I4 (instanceref bRegPortOutLcl_Data__18__i_2))
16751          (portref Q (instanceref RePulseCnt2ReadbackDsGen_18__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16752          )
16753         )
16754         (net (rename bRePulsePeriod2CtrlReadbackSyncReset_19_ "bRePulsePeriod2CtrlReadbackSyncReset[19]") (joined
16755          (portref I3 (instanceref bRegPortOutLcl_Data__19__i_2))
16756          (portref Q (instanceref RePulseCnt2ReadbackDsGen_19__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16757          )
16758         )
16759         (net (rename bRePulsePeriod2CtrlReadbackSyncReset_1_ "bRePulsePeriod2CtrlReadbackSyncReset[1]") (joined
16760          (portref I1 (instanceref bRegPortOutLcl_Data__1__i_2))
16761          (portref Q (instanceref RePulseCnt2ReadbackDsGen_1__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16762          )
16763         )
16764         (net (rename bRePulsePeriod2CtrlReadbackSyncReset_20_ "bRePulsePeriod2CtrlReadbackSyncReset[20]") (joined
16765          (portref I3 (instanceref bRegPortOutLcl_Data__20__i_4))
16766          (portref Q (instanceref RePulseCnt2ReadbackDsGen_20__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16767          )
16768         )
16769         (net (rename bRePulsePeriod2CtrlReadbackSyncReset_21_ "bRePulsePeriod2CtrlReadbackSyncReset[21]") (joined
16770          (portref I3 (instanceref bRegPortOutLcl_Data__21__i_4))
16771          (portref Q (instanceref RePulseCnt2ReadbackDsGen_21__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16772          )
16773         )
16774         (net (rename bRePulsePeriod2CtrlReadbackSyncReset_22_ "bRePulsePeriod2CtrlReadbackSyncReset[22]") (joined
16775          (portref I3 (instanceref bRegPortOutLcl_Data__22__i_4))
16776          (portref Q (instanceref RePulseCnt2ReadbackDsGen_22__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16777          )
16778         )
16779         (net (rename bRePulsePeriod2CtrlReadbackSyncReset_23_ "bRePulsePeriod2CtrlReadbackSyncReset[23]") (joined
16780          (portref I3 (instanceref bRegPortOutLcl_Data__23__i_4))
16781          (portref Q (instanceref RePulseCnt2ReadbackDsGen_23__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16782          )
16783         )
16784         (net (rename bRePulsePeriod2CtrlReadbackSyncReset_24_ "bRePulsePeriod2CtrlReadbackSyncReset[24]") (joined
16785          (portref I3 (instanceref bRegPortOutLcl_Data__24__i_2))
16786          (portref Q (instanceref RePulseCnt2ReadbackDsGen_24__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16787          )
16788         )
16789         (net (rename bRePulsePeriod2CtrlReadbackSyncReset_25_ "bRePulsePeriod2CtrlReadbackSyncReset[25]") (joined
16790          (portref I3 (instanceref bRegPortOutLcl_Data__25__i_2))
16791          (portref Q (instanceref RePulseCnt2ReadbackDsGen_25__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16792          )
16793         )
16794         (net (rename bRePulsePeriod2CtrlReadbackSyncReset_26_ "bRePulsePeriod2CtrlReadbackSyncReset[26]") (joined
16795          (portref I4 (instanceref bRegPortOutLcl_Data__26__i_2))
16796          (portref Q (instanceref RePulseCnt2ReadbackDsGen_26__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16797          )
16798         )
16799         (net (rename bRePulsePeriod2CtrlReadbackSyncReset_27_ "bRePulsePeriod2CtrlReadbackSyncReset[27]") (joined
16800          (portref I4 (instanceref bRegPortOutLcl_Data__27__i_2))
16801          (portref Q (instanceref RePulseCnt2ReadbackDsGen_27__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16802          )
16803         )
16804         (net (rename bRePulsePeriod2CtrlReadbackSyncReset_28_ "bRePulsePeriod2CtrlReadbackSyncReset[28]") (joined
16805          (portref I3 (instanceref bRegPortOutLcl_Data__28__i_1))
16806          (portref Q (instanceref RePulseCnt2ReadbackDsGen_28__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16807          )
16808         )
16809         (net (rename bRePulsePeriod2CtrlReadbackSyncReset_29_ "bRePulsePeriod2CtrlReadbackSyncReset[29]") (joined
16810          (portref I3 (instanceref bRegPortOutLcl_Data__29__i_4))
16811          (portref Q (instanceref RePulseCnt2ReadbackDsGen_29__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16812          )
16813         )
16814         (net (rename bRePulsePeriod2CtrlReadbackSyncReset_2_ "bRePulsePeriod2CtrlReadbackSyncReset[2]") (joined
16815          (portref I0 (instanceref bRegPortOutLcl_Data__2__i_4))
16816          (portref Q (instanceref RePulseCnt2ReadbackDsGen_2__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16817          )
16818         )
16819         (net (rename bRePulsePeriod2CtrlReadbackSyncReset_30_ "bRePulsePeriod2CtrlReadbackSyncReset[30]") (joined
16820          (portref I3 (instanceref bRegPortOutLcl_Data__30__i_5))
16821          (portref Q (instanceref RePulseCnt2ReadbackDsGen_30__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16822          )
16823         )
16824         (net (rename bRePulsePeriod2CtrlReadbackSyncReset_31_ "bRePulsePeriod2CtrlReadbackSyncReset[31]") (joined
16825          (portref I3 (instanceref bRegPortOutLcl_Data__31__i_4))
16826          (portref Q (instanceref RePulseCnt2ReadbackDsGen_31__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16827          )
16828         )
16829         (net (rename bRePulsePeriod2CtrlReadbackSyncReset_3_ "bRePulsePeriod2CtrlReadbackSyncReset[3]") (joined
16830          (portref I2 (instanceref bRegPortOutLcl_Data__3__i_4))
16831          (portref Q (instanceref RePulseCnt2ReadbackDsGen_3__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16832          )
16833         )
16834         (net (rename bRePulsePeriod2CtrlReadbackSyncReset_4_ "bRePulsePeriod2CtrlReadbackSyncReset[4]") (joined
16835          (portref I2 (instanceref bRegPortOutLcl_Data__4__i_7))
16836          (portref Q (instanceref RePulseCnt2ReadbackDsGen_4__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16837          )
16838         )
16839         (net (rename bRePulsePeriod2CtrlReadbackSyncReset_5_ "bRePulsePeriod2CtrlReadbackSyncReset[5]") (joined
16840          (portref I1 (instanceref bRegPortOutLcl_Data__5__i_2))
16841          (portref Q (instanceref RePulseCnt2ReadbackDsGen_5__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16842          )
16843         )
16844         (net (rename bRePulsePeriod2CtrlReadbackSyncReset_6_ "bRePulsePeriod2CtrlReadbackSyncReset[6]") (joined
16845          (portref I0 (instanceref bRegPortOutLcl_Data__6__i_4))
16846          (portref Q (instanceref RePulseCnt2ReadbackDsGen_6__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16847          )
16848         )
16849         (net (rename bRePulsePeriod2CtrlReadbackSyncReset_7_ "bRePulsePeriod2CtrlReadbackSyncReset[7]") (joined
16850          (portref I2 (instanceref bRegPortOutLcl_Data__7__i_4))
16851          (portref Q (instanceref RePulseCnt2ReadbackDsGen_7__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16852          )
16853         )
16854         (net (rename bRePulsePeriod2CtrlReadbackSyncReset_8_ "bRePulsePeriod2CtrlReadbackSyncReset[8]") (joined
16855          (portref I2 (instanceref bRegPortOutLcl_Data__8__i_4))
16856          (portref Q (instanceref RePulseCnt2ReadbackDsGen_8__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16857          )
16858         )
16859         (net (rename bRePulsePeriod2CtrlReadbackSyncReset_9_ "bRePulsePeriod2CtrlReadbackSyncReset[9]") (joined
16860          (portref I1 (instanceref bRegPortOutLcl_Data__9__i_3))
16861          (portref Q (instanceref RePulseCnt2ReadbackDsGen_9__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
16862          )
16863         )
16864         (net (rename bRePulsePeriod2CtrlReadback_0_ "bRePulsePeriod2CtrlReadback[0]") (joined
16865          (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
16866          (portref D (instanceref RePulseCnt2ReadbackDsGen_0__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16867          (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_0_))
16868          )
16869         )
16870         (net (rename bRePulsePeriod2CtrlReadback_10_ "bRePulsePeriod2CtrlReadback[10]") (joined
16871          (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
16872          (portref D (instanceref RePulseCnt2ReadbackDsGen_10__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16873          (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_10_))
16874          )
16875         )
16876         (net (rename bRePulsePeriod2CtrlReadback_11_ "bRePulsePeriod2CtrlReadback[11]") (joined
16877          (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
16878          (portref D (instanceref RePulseCnt2ReadbackDsGen_11__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16879          (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_11_))
16880          )
16881         )
16882         (net (rename bRePulsePeriod2CtrlReadback_12_ "bRePulsePeriod2CtrlReadback[12]") (joined
16883          (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
16884          (portref D (instanceref RePulseCnt2ReadbackDsGen_12__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16885          (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_12_))
16886          )
16887         )
16888         (net (rename bRePulsePeriod2CtrlReadback_13_ "bRePulsePeriod2CtrlReadback[13]") (joined
16889          (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
16890          (portref D (instanceref RePulseCnt2ReadbackDsGen_13__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16891          (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_13_))
16892          )
16893         )
16894         (net (rename bRePulsePeriod2CtrlReadback_14_ "bRePulsePeriod2CtrlReadback[14]") (joined
16895          (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
16896          (portref D (instanceref RePulseCnt2ReadbackDsGen_14__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16897          (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_14_))
16898          )
16899         )
16900         (net (rename bRePulsePeriod2CtrlReadback_15_ "bRePulsePeriod2CtrlReadback[15]") (joined
16901          (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
16902          (portref D (instanceref RePulseCnt2ReadbackDsGen_15__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16903          (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_15_))
16904          )
16905         )
16906         (net (rename bRePulsePeriod2CtrlReadback_16_ "bRePulsePeriod2CtrlReadback[16]") (joined
16907          (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
16908          (portref D (instanceref RePulseCnt2ReadbackDsGen_16__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16909          (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_16_))
16910          )
16911         )
16912         (net (rename bRePulsePeriod2CtrlReadback_17_ "bRePulsePeriod2CtrlReadback[17]") (joined
16913          (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
16914          (portref D (instanceref RePulseCnt2ReadbackDsGen_17__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16915          (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_17_))
16916          )
16917         )
16918         (net (rename bRePulsePeriod2CtrlReadback_18_ "bRePulsePeriod2CtrlReadback[18]") (joined
16919          (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
16920          (portref D (instanceref RePulseCnt2ReadbackDsGen_18__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16921          (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_18_))
16922          )
16923         )
16924         (net (rename bRePulsePeriod2CtrlReadback_19_ "bRePulsePeriod2CtrlReadback[19]") (joined
16925          (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
16926          (portref D (instanceref RePulseCnt2ReadbackDsGen_19__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16927          (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_19_))
16928          )
16929         )
16930         (net (rename bRePulsePeriod2CtrlReadback_1_ "bRePulsePeriod2CtrlReadback[1]") (joined
16931          (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
16932          (portref D (instanceref RePulseCnt2ReadbackDsGen_1__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16933          (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_1_))
16934          )
16935         )
16936         (net (rename bRePulsePeriod2CtrlReadback_20_ "bRePulsePeriod2CtrlReadback[20]") (joined
16937          (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
16938          (portref D (instanceref RePulseCnt2ReadbackDsGen_20__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16939          (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_20_))
16940          )
16941         )
16942         (net (rename bRePulsePeriod2CtrlReadback_21_ "bRePulsePeriod2CtrlReadback[21]") (joined
16943          (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
16944          (portref D (instanceref RePulseCnt2ReadbackDsGen_21__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16945          (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_21_))
16946          )
16947         )
16948         (net (rename bRePulsePeriod2CtrlReadback_22_ "bRePulsePeriod2CtrlReadback[22]") (joined
16949          (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
16950          (portref D (instanceref RePulseCnt2ReadbackDsGen_22__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16951          (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_22_))
16952          )
16953         )
16954         (net (rename bRePulsePeriod2CtrlReadback_23_ "bRePulsePeriod2CtrlReadback[23]") (joined
16955          (portref D (instanceref RePulseCnt2ReadbackDsGen_23__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16956          (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_23_))
16957          )
16958         )
16959         (net (rename bRePulsePeriod2CtrlReadback_24_ "bRePulsePeriod2CtrlReadback[24]") (joined
16960          (portref D (instanceref RePulseCnt2ReadbackDsGen_24__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16961          (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_24_))
16962          )
16963         )
16964         (net (rename bRePulsePeriod2CtrlReadback_25_ "bRePulsePeriod2CtrlReadback[25]") (joined
16965          (portref D (instanceref RePulseCnt2ReadbackDsGen_25__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16966          (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_25_))
16967          )
16968         )
16969         (net (rename bRePulsePeriod2CtrlReadback_26_ "bRePulsePeriod2CtrlReadback[26]") (joined
16970          (portref D (instanceref RePulseCnt2ReadbackDsGen_26__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16971          (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_26_))
16972          )
16973         )
16974         (net (rename bRePulsePeriod2CtrlReadback_27_ "bRePulsePeriod2CtrlReadback[27]") (joined
16975          (portref D (instanceref RePulseCnt2ReadbackDsGen_27__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16976          (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_27_))
16977          )
16978         )
16979         (net (rename bRePulsePeriod2CtrlReadback_28_ "bRePulsePeriod2CtrlReadback[28]") (joined
16980          (portref D (instanceref RePulseCnt2ReadbackDsGen_28__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16981          (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_28_))
16982          )
16983         )
16984         (net (rename bRePulsePeriod2CtrlReadback_29_ "bRePulsePeriod2CtrlReadback[29]") (joined
16985          (portref D (instanceref RePulseCnt2ReadbackDsGen_29__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16986          (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_29_))
16987          )
16988         )
16989         (net (rename bRePulsePeriod2CtrlReadback_2_ "bRePulsePeriod2CtrlReadback[2]") (joined
16990          (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
16991          (portref D (instanceref RePulseCnt2ReadbackDsGen_2__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16992          (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_2_))
16993          )
16994         )
16995         (net (rename bRePulsePeriod2CtrlReadback_30_ "bRePulsePeriod2CtrlReadback[30]") (joined
16996          (portref D (instanceref RePulseCnt2ReadbackDsGen_30__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
16997          (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_30_))
16998          )
16999         )
17000         (net (rename bRePulsePeriod2CtrlReadback_31_ "bRePulsePeriod2CtrlReadback[31]") (joined
17001          (portref D (instanceref RePulseCnt2ReadbackDsGen_31__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
17002          (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_31_))
17003          )
17004         )
17005         (net (rename bRePulsePeriod2CtrlReadback_3_ "bRePulsePeriod2CtrlReadback[3]") (joined
17006          (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
17007          (portref D (instanceref RePulseCnt2ReadbackDsGen_3__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
17008          (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_3_))
17009          )
17010         )
17011         (net (rename bRePulsePeriod2CtrlReadback_4_ "bRePulsePeriod2CtrlReadback[4]") (joined
17012          (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
17013          (portref D (instanceref RePulseCnt2ReadbackDsGen_4__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
17014          (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_4_))
17015          )
17016         )
17017         (net (rename bRePulsePeriod2CtrlReadback_5_ "bRePulsePeriod2CtrlReadback[5]") (joined
17018          (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
17019          (portref D (instanceref RePulseCnt2ReadbackDsGen_5__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
17020          (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_5_))
17021          )
17022         )
17023         (net (rename bRePulsePeriod2CtrlReadback_6_ "bRePulsePeriod2CtrlReadback[6]") (joined
17024          (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
17025          (portref D (instanceref RePulseCnt2ReadbackDsGen_6__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
17026          (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_6_))
17027          )
17028         )
17029         (net (rename bRePulsePeriod2CtrlReadback_7_ "bRePulsePeriod2CtrlReadback[7]") (joined
17030          (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
17031          (portref D (instanceref RePulseCnt2ReadbackDsGen_7__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
17032          (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_7_))
17033          )
17034         )
17035         (net (rename bRePulsePeriod2CtrlReadback_8_ "bRePulsePeriod2CtrlReadback[8]") (joined
17036          (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
17037          (portref D (instanceref RePulseCnt2ReadbackDsGen_8__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
17038          (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_8_))
17039          )
17040         )
17041         (net (rename bRePulsePeriod2CtrlReadback_9_ "bRePulsePeriod2CtrlReadback[9]") (joined
17042          (portref D (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
17043          (portref D (instanceref RePulseCnt2ReadbackDsGen_9__RePulse2ReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
17044          (portref Q (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_9_))
17045          )
17046         )
17047         (net bReRunEnable (joined
17048          (portref D (instanceref bScratch_reg_8_))
17049          (portref O (instanceref bScratch_8__i_1))
17050          )
17051         )
17052         (net bReRunEnable_i_1_n_0 (joined
17053          (portref D (instanceref bReRunEnable_reg))
17054          (portref O (instanceref bReRunEnable_i_1))
17055          )
17056         )
17057         (net bReRunEnable_reg_n_0 (joined
17058          (portref D (instanceref ReRunEnableDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
17059          (portref I1 (instanceref bRegPortOutLcl_Data__8__i_3))
17060          (portref I3 (instanceref bRegPortOutLcl_Data__9__i_3))
17061          (portref I5 (instanceref bReRunEnable_i_1))
17062          (portref Q (instanceref bReRunEnable_reg))
17063          )
17064         )
17065         (net (rename bRegPortInFlat_0_ "bRegPortInFlat[0]") (joined
17066          (portref I0 (instanceref bPushPpsDelayVal_i_3))
17067          (portref I1 (instanceref bClearTdcRegs_i_2))
17068          (portref I1 (instanceref bScratch_31__i_3))
17069          (portref I4 (instanceref aTdcResetLcl_i_2))
17070          (portref (member bRegPortInFlat 49))
17071          )
17072         )
17073         (net (rename bRegPortInFlat_10_ "bRegPortInFlat[10]") (joined
17074          (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_8_))
17075          (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_8_))
17076          (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_8_))
17077          (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_8_))
17078          (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_8_))
17079          (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_8_))
17080          (portref I0 (instanceref bScratch_8__i_1))
17081          (portref I3 (instanceref bReRunEnable_i_1))
17082          (portref (member bRegPortInFlat 39))
17083          )
17084         )
17085         (net (rename bRegPortInFlat_11_ "bRegPortInFlat[11]") (joined
17086          (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_9_))
17087          (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_9_))
17088          (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_9_))
17089          (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_9_))
17090          (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_9_))
17091          (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_9_))
17092          (portref I0 (instanceref bScratch_9__i_1))
17093          (portref I2 (instanceref bReRunEnable_i_1))
17094          (portref (member bRegPortInFlat 38))
17095          )
17096         )
17097         (net (rename bRegPortInFlat_12_ "bRegPortInFlat[12]") (joined
17098          (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_10_))
17099          (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_10_))
17100          (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_10_))
17101          (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_10_))
17102          (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_10_))
17103          (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_10_))
17104          (portref I0 (instanceref bScratch_10__i_1))
17105          (portref (member bRegPortInFlat 37))
17106          )
17107         )
17108         (net (rename bRegPortInFlat_13_ "bRegPortInFlat[13]") (joined
17109          (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_11_))
17110          (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_11_))
17111          (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_11_))
17112          (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_11_))
17113          (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_11_))
17114          (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_11_))
17115          (portref I0 (instanceref bScratch_11__i_1))
17116          (portref (member bRegPortInFlat 36))
17117          )
17118         )
17119         (net (rename bRegPortInFlat_14_ "bRegPortInFlat[14]") (joined
17120          (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_12_))
17121          (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_12_))
17122          (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_12_))
17123          (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_12_))
17124          (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_12_))
17125          (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_12_))
17126          (portref I0 (instanceref bScratch_12__i_1))
17127          (portref I3 (instanceref bPpsClkCrossEn_i_1))
17128          (portref (member bRegPortInFlat 35))
17129          )
17130         )
17131         (net (rename bRegPortInFlat_15_ "bRegPortInFlat[15]") (joined
17132          (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_13_))
17133          (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_13_))
17134          (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_13_))
17135          (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_13_))
17136          (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_13_))
17137          (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_13_))
17138          (portref I0 (instanceref bScratch_13__i_1))
17139          (portref I2 (instanceref bPpsClkCrossEn_i_1))
17140          (portref (member bRegPortInFlat 34))
17141          )
17142         )
17143         (net (rename bRegPortInFlat_16_ "bRegPortInFlat[16]") (joined
17144          (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_14_))
17145          (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_14_))
17146          (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_14_))
17147          (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_14_))
17148          (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_14_))
17149          (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_14_))
17150          (portref I0 (instanceref bScratch_14__i_1))
17151          (portref (member bRegPortInFlat 33))
17152          )
17153         )
17154         (net (rename bRegPortInFlat_17_ "bRegPortInFlat[17]") (joined
17155          (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_15_))
17156          (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_15_))
17157          (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_15_))
17158          (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_15_))
17159          (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_15_))
17160          (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_15_))
17161          (portref I0 (instanceref bScratch_15__i_1))
17162          (portref (member bRegPortInFlat 32))
17163          )
17164         )
17165         (net (rename bRegPortInFlat_18_ "bRegPortInFlat[18]") (joined
17166          (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_16_))
17167          (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_16_))
17168          (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_16_))
17169          (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_16_))
17170          (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_16_))
17171          (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_16_))
17172          (portref I0 (instanceref bPpsClkCrossDelayVal_0__i_1))
17173          (portref (member bRegPortInFlat 31))
17174          )
17175         )
17176         (net (rename bRegPortInFlat_19_ "bRegPortInFlat[19]") (joined
17177          (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_17_))
17178          (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_17_))
17179          (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_17_))
17180          (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_17_))
17181          (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_17_))
17182          (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_17_))
17183          (portref I0 (instanceref bPpsClkCrossDelayVal_1__i_1))
17184          (portref (member bRegPortInFlat 30))
17185          )
17186         )
17187         (net (rename bRegPortInFlat_1_ "bRegPortInFlat[1]") (joined
17188          (portref I0 (instanceref bRegPortOutLcl_Data__28__i_5))
17189          (portref I0 (instanceref bRegPortOutLcl_Data__28__i_8))
17190          (portref I0 (instanceref bRegPortOutLcl_Data__31__i_11))
17191          (portref I1 (instanceref bRegPortOutLcl_Data__31__i_6))
17192          (portref I1 (instanceref bRegPortOutLcl_Data__7__i_6))
17193          (portref I2 (instanceref bRegPortOutLcl_Data__27__i_7))
17194          (portref I2 (instanceref bRegPortOutLcl_Data__28__i_7))
17195          (portref I2 (instanceref bRpOffsetStored_39__i_1))
17196          (portref I3 (instanceref bRegPortOutLcl_Data__0__i_7))
17197          (portref I3 (instanceref bRegPortOutLcl_Data__25__i_3))
17198          (portref I3 (instanceref bRegPortOutLcl_Data__31__i_7))
17199          (portref I3 (instanceref bRegPortOutLcl_Data__31__i_8))
17200          (portref I3 (instanceref bRegPortOutLcl_Data__8__i_6))
17201          (portref I5 (instanceref bRegPortOutLcl_Data__30__i_6))
17202          (portref (member bRegPortInFlat 48))
17203          )
17204         )
17205         (net (rename bRegPortInFlat_20_ "bRegPortInFlat[20]") (joined
17206          (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_18_))
17207          (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_18_))
17208          (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_18_))
17209          (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_18_))
17210          (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_18_))
17211          (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_18_))
17212          (portref I0 (instanceref bPpsClkCrossDelayVal_2__i_1))
17213          (portref (member bRegPortInFlat 29))
17214          )
17215         )
17216         (net (rename bRegPortInFlat_21_ "bRegPortInFlat[21]") (joined
17217          (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_19_))
17218          (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_19_))
17219          (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_19_))
17220          (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_19_))
17221          (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_19_))
17222          (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_19_))
17223          (portref I0 (instanceref bPpsClkCrossDelayVal_3__i_2))
17224          (portref (member bRegPortInFlat 28))
17225          )
17226         )
17227         (net (rename bRegPortInFlat_22_ "bRegPortInFlat[22]") (joined
17228          (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_20_))
17229          (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_20_))
17230          (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_20_))
17231          (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_20_))
17232          (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_20_))
17233          (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_20_))
17234          (portref I0 (instanceref bPpsClkCrossDelayVal_3__i_1))
17235          (portref I0 (instanceref bScratch_20__i_1))
17236          (portref I2 (instanceref bPushPpsDelayVal_i_1))
17237          (portref (member bRegPortInFlat 27))
17238          )
17239         )
17240         (net (rename bRegPortInFlat_23_ "bRegPortInFlat[23]") (joined
17241          (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_21_))
17242          (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_21_))
17243          (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_21_))
17244          (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_21_))
17245          (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_21_))
17246          (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_21_))
17247          (portref I0 (instanceref bScratch_21__i_1))
17248          (portref (member bRegPortInFlat 26))
17249          )
17250         )
17251         (net (rename bRegPortInFlat_24_ "bRegPortInFlat[24]") (joined
17252          (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_22_))
17253          (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_22_))
17254          (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_22_))
17255          (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_22_))
17256          (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_22_))
17257          (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_22_))
17258          (portref I0 (instanceref bScratch_22__i_1))
17259          (portref (member bRegPortInFlat 25))
17260          )
17261         )
17262         (net (rename bRegPortInFlat_25_ "bRegPortInFlat[25]") (joined
17263          (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_23_))
17264          (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_23_))
17265          (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_23_))
17266          (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_23_))
17267          (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_23_))
17268          (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_23_))
17269          (portref I0 (instanceref bScratch_23__i_1))
17270          (portref (member bRegPortInFlat 24))
17271          )
17272         )
17273         (net (rename bRegPortInFlat_26_ "bRegPortInFlat[26]") (joined
17274          (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_24_))
17275          (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_24_))
17276          (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_24_))
17277          (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_24_))
17278          (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_24_))
17279          (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_24_))
17280          (portref I0 (instanceref bScratch_24__i_1))
17281          (portref I2 (instanceref bPulserEnableDelayVal_0__i_1))
17282          (portref (member bRegPortInFlat 23))
17283          )
17284         )
17285         (net (rename bRegPortInFlat_27_ "bRegPortInFlat[27]") (joined
17286          (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_25_))
17287          (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_25_))
17288          (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_25_))
17289          (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_25_))
17290          (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_25_))
17291          (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_25_))
17292          (portref I0 (instanceref bPulserEnableDelayVal_1__i_1))
17293          (portref (member bRegPortInFlat 22))
17294          )
17295         )
17296         (net (rename bRegPortInFlat_28_ "bRegPortInFlat[28]") (joined
17297          (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_26_))
17298          (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_26_))
17299          (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_26_))
17300          (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_26_))
17301          (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_26_))
17302          (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_26_))
17303          (portref I0 (instanceref bPulserEnableDelayVal_2__i_1))
17304          (portref (member bRegPortInFlat 21))
17305          )
17306         )
17307         (net (rename bRegPortInFlat_29_ "bRegPortInFlat[29]") (joined
17308          (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_27_))
17309          (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_27_))
17310          (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_27_))
17311          (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_27_))
17312          (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_27_))
17313          (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_27_))
17314          (portref I0 (instanceref bPulserEnableDelayVal_3__i_2))
17315          (portref (member bRegPortInFlat 20))
17316          )
17317         )
17318         (net (rename bRegPortInFlat_2_ "bRegPortInFlat[2]") (joined
17319          (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_0_))
17320          (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_0_))
17321          (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_0_))
17322          (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_0_))
17323          (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_0_))
17324          (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_0_))
17325          (portref I0 (instanceref bClearTdcRegs_i_1))
17326          (portref I0 (instanceref bScratch_0__i_1))
17327          (portref I3 (instanceref bResetTdc_i_1))
17328          (portref (member bRegPortInFlat 47))
17329          )
17330         )
17331         (net (rename bRegPortInFlat_30_ "bRegPortInFlat[30]") (joined
17332          (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_28_))
17333          (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_28_))
17334          (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_28_))
17335          (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_28_))
17336          (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_28_))
17337          (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_28_))
17338          (portref I0 (instanceref bPulserEnableDelayVal_3__i_1))
17339          (portref I0 (instanceref bScratch_28__i_1))
17340          (portref I2 (instanceref bPushPulserEnableDelayVal_i_1))
17341          (portref (member bRegPortInFlat 19))
17342          )
17343         )
17344         (net (rename bRegPortInFlat_31_ "bRegPortInFlat[31]") (joined
17345          (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_29_))
17346          (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_29_))
17347          (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_29_))
17348          (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_29_))
17349          (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_29_))
17350          (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_29_))
17351          (portref I0 (instanceref bScratch_29__i_1))
17352          (portref (member bRegPortInFlat 18))
17353          )
17354         )
17355         (net (rename bRegPortInFlat_32_ "bRegPortInFlat[32]") (joined
17356          (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_30_))
17357          (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_30_))
17358          (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_30_))
17359          (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_30_))
17360          (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_30_))
17361          (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_30_))
17362          (portref I0 (instanceref bScratch_30__i_1))
17363          (portref (member bRegPortInFlat 17))
17364          )
17365         )
17366         (net (rename bRegPortInFlat_33_ "bRegPortInFlat[33]") (joined
17367          (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_31_))
17368          (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_31_))
17369          (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_31_))
17370          (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_31_))
17371          (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_31_))
17372          (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_31_))
17373          (portref I0 (instanceref bScratch_31__i_2))
17374          (portref (member bRegPortInFlat 16))
17375          )
17376         )
17377         (net (rename bRegPortInFlat_34_ "bRegPortInFlat[34]") (joined
17378          (portref I0 (instanceref aTdcResetLcl_i_3))
17379          (portref I0 (instanceref bRegPortOutLcl_Data__28__i_10))
17380          (portref I3 (instanceref bRpOffsetStored_39__i_3))
17381          (portref I4 (instanceref bRegPortOutLcl_Data__30__i_6))
17382          (portref (member bRegPortInFlat 15))
17383          )
17384         )
17385         (net (rename bRegPortInFlat_35_ "bRegPortInFlat[35]") (joined
17386          (portref I1 (instanceref aTdcResetLcl_i_3))
17387          (portref I1 (instanceref bRegPortOutLcl_Data__28__i_10))
17388          (portref I2 (instanceref bRpOffsetStored_39__i_3))
17389          (portref I3 (instanceref bRegPortOutLcl_Data__30__i_6))
17390          (portref (member bRegPortInFlat 14))
17391          )
17392         )
17393         (net (rename bRegPortInFlat_36_ "bRegPortInFlat[36]") (joined
17394          (portref I0 (instanceref bPushPpsDelayVal_i_4))
17395          (portref I0 (instanceref bRegPortOutLcl_Data__27__i_4))
17396          (portref I0 (instanceref bRegPortOutLcl_Data__31__i_12))
17397          (portref I0 (instanceref bRegPortOutLcl_Data__4__i_5))
17398          (portref I0 (instanceref bScratch_31__i_4))
17399          (portref I1 (instanceref BlkIn_iDlyPush_i_1__0))
17400          (portref I1 (instanceref BlkIn_iDlyPush_i_1__4))
17401          (portref I1 (instanceref Gen0_FDCEx_i_1))
17402          (portref I1 (instanceref Gen0_FDCEx_i_1__1))
17403          (portref I1 (instanceref Gen0_FDCEx_i_1__2))
17404          (portref I1 (instanceref Gen0_FDCEx_i_1__3))
17405          (portref I1 (instanceref aTdcResetLcl_i_2))
17406          (portref I1 (instanceref bClearTdcRegs_i_3))
17407          (portref I2 (instanceref BlkIn_iDlyPush_i_1))
17408          (portref I2 (instanceref BlkIn_iDlyPush_i_1__1))
17409          (portref I2 (instanceref BlkIn_iDlyPush_i_1__2))
17410          (portref I2 (instanceref BlkIn_iDlyPush_i_1__3))
17411          (portref I2 (instanceref Gen0_FDCEx_i_1__0))
17412          (portref I2 (instanceref Gen0_FDCEx_i_1__4))
17413          (portref I4 (instanceref bRegPortOutLcl_Data__28__i_4))
17414          (portref I4 (instanceref bRpOffsetStored_39__i_4))
17415          (portref I5 (instanceref bPpsClkCrossDelayVal_3__i_3))
17416          (portref I5 (instanceref bRegPortOutLcl_Data__0__i_5))
17417          (portref I5 (instanceref bRegPortOutLcl_Data__31__i_6))
17418          (portref I5 (instanceref bRegPortOutLcl_Data__4__i_6))
17419          (portref I5 (instanceref bRegPortOutLcl_Data__7__i_6))
17420          (portref (member bRegPortInFlat 13))
17421          )
17422         )
17423         (net (rename bRegPortInFlat_37_ "bRegPortInFlat[37]") (joined
17424          (portref I0 (instanceref bRegPortOutLcl_Data__0__i_7))
17425          (portref I0 (instanceref bRegPortOutLcl_Data__25__i_3))
17426          (portref I0 (instanceref bRegPortOutLcl_Data__28__i_4))
17427          (portref I0 (instanceref bRegPortOutLcl_Data__28__i_9))
17428          (portref I1 (instanceref Gen0_FDCEx_i_3))
17429          (portref I1 (instanceref bPpsClkCrossDelayVal_3__i_3))
17430          (portref I1 (instanceref bPushPpsDelayVal_i_2))
17431          (portref I1 (instanceref bRegPortOutLcl_Data__16__i_3))
17432          (portref I1 (instanceref bRegPortOutLcl_Data__16__i_4))
17433          (portref I1 (instanceref bRpOffsetStored_39__i_4))
17434          (portref I2 (instanceref Gen0_FDCEx_i_3__0))
17435          (portref I2 (instanceref Gen0_FDCEx_i_3__1))
17436          (portref I2 (instanceref bRegPortOutLcl_Data__27__i_4))
17437          (portref I3 (instanceref bRegPortOutLcl_Data__4__i_5))
17438          (portref I3 (instanceref bRegPortOutLcl_Data__4__i_6))
17439          (portref I4 (instanceref bClearTdcRegs_i_2))
17440          (portref I4 (instanceref bRegPortOutLcl_Data__26__i_3))
17441          (portref I4 (instanceref bRegPortOutLcl_Data__27__i_3))
17442          (portref I4 (instanceref bRegPortOutLcl_Data__30__i_4))
17443          (portref I4 (instanceref bRegPortOutLcl_Data__31__i_5))
17444          (portref I4 (instanceref bScratch_31__i_1))
17445          (portref I5 (instanceref bRegPortOutLcl_Data__31__i_10))
17446          (portref I5 (instanceref bRegPortOutLcl_Data__31__i_9))
17447          (portref (member bRegPortInFlat 12))
17448          )
17449         )
17450         (net (rename bRegPortInFlat_38_ "bRegPortInFlat[38]") (joined
17451          (portref I0 (instanceref bRegPortOutLcl_Data__27__i_7))
17452          (portref I0 (instanceref bRegPortOutLcl_Data__28__i_7))
17453          (portref I0 (instanceref bScratch_31__i_3))
17454          (portref I1 (instanceref bPushPpsDelayVal_i_3))
17455          (portref I1 (instanceref bRegPortOutLcl_Data__0__i_7))
17456          (portref I1 (instanceref bRegPortOutLcl_Data__25__i_3))
17457          (portref I1 (instanceref bRegPortOutLcl_Data__31__i_7))
17458          (portref I1 (instanceref bRegPortOutLcl_Data__31__i_8))
17459          (portref I1 (instanceref bRegPortOutLcl_Data__8__i_6))
17460          (portref I1 (instanceref bRpOffsetStored_39__i_1))
17461          (portref I2 (instanceref bClearTdcRegs_i_2))
17462          (portref I2 (instanceref bRegPortOutLcl_Data__28__i_5))
17463          (portref I2 (instanceref bRegPortOutLcl_Data__31__i_11))
17464          (portref I3 (instanceref aTdcResetLcl_i_2))
17465          (portref I3 (instanceref bRegPortOutLcl_Data__26__i_3))
17466          (portref I3 (instanceref bRegPortOutLcl_Data__27__i_3))
17467          (portref I3 (instanceref bRegPortOutLcl_Data__30__i_4))
17468          (portref I3 (instanceref bRegPortOutLcl_Data__31__i_5))
17469          (portref I3 (instanceref bRegPortOutLcl_Data__31__i_6))
17470          (portref I3 (instanceref bRegPortOutLcl_Data__7__i_6))
17471          (portref I4 (instanceref bRegPortOutLcl_Data__31__i_10))
17472          (portref I4 (instanceref bRegPortOutLcl_Data__31__i_9))
17473          (portref I5 (instanceref bRegPortOutLcl_Data__28__i_8))
17474          (portref (member bRegPortInFlat 11))
17475          )
17476         )
17477         (net (rename bRegPortInFlat_39_ "bRegPortInFlat[39]") (joined
17478          (portref I0 (instanceref aTdcResetLcl_i_2))
17479          (portref I0 (instanceref bClearTdcRegs_i_3))
17480          (portref I1 (instanceref BlkIn_iDlyPush_i_1))
17481          (portref I1 (instanceref BlkIn_iDlyPush_i_1__1))
17482          (portref I1 (instanceref BlkIn_iDlyPush_i_1__2))
17483          (portref I1 (instanceref BlkIn_iDlyPush_i_1__3))
17484          (portref I1 (instanceref Gen0_FDCEx_i_1__0))
17485          (portref I1 (instanceref Gen0_FDCEx_i_1__4))
17486          (portref I1 (instanceref bPushPpsDelayVal_i_4))
17487          (portref I1 (instanceref bRegPortOutLcl_Data__27__i_4))
17488          (portref I1 (instanceref bRegPortOutLcl_Data__31__i_12))
17489          (portref I1 (instanceref bRegPortOutLcl_Data__4__i_5))
17490          (portref I1 (instanceref bScratch_31__i_4))
17491          (portref I2 (instanceref BlkIn_iDlyPush_i_1__0))
17492          (portref I2 (instanceref BlkIn_iDlyPush_i_1__4))
17493          (portref I2 (instanceref Gen0_FDCEx_i_1))
17494          (portref I2 (instanceref Gen0_FDCEx_i_1__1))
17495          (portref I2 (instanceref Gen0_FDCEx_i_1__2))
17496          (portref I2 (instanceref Gen0_FDCEx_i_1__3))
17497          (portref I3 (instanceref bRegPortOutLcl_Data__10__i_3))
17498          (portref I3 (instanceref bRegPortOutLcl_Data__16__i_3))
17499          (portref I3 (instanceref bRegPortOutLcl_Data__28__i_3))
17500          (portref I3 (instanceref bRegPortOutLcl_Data__28__i_4))
17501          (portref I3 (instanceref bRegPortOutLcl_Data__9__i_4))
17502          (portref I3 (instanceref bRpOffsetStored_39__i_4))
17503          (portref I4 (instanceref bPpsClkCrossDelayVal_3__i_3))
17504          (portref I4 (instanceref bRegPortOutLcl_Data__0__i_5))
17505          (portref I4 (instanceref bRegPortOutLcl_Data__31__i_6))
17506          (portref I4 (instanceref bRegPortOutLcl_Data__4__i_6))
17507          (portref I4 (instanceref bRegPortOutLcl_Data__7__i_6))
17508          (portref I5 (instanceref bRegPortOutLcl_Data__27__i_3))
17509          (portref (member bRegPortInFlat 10))
17510          )
17511         )
17512         (net (rename bRegPortInFlat_3_ "bRegPortInFlat[3]") (joined
17513          (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_1_))
17514          (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_1_))
17515          (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_1_))
17516          (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_1_))
17517          (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_1_))
17518          (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_1_))
17519          (portref I0 (instanceref bScratch_1__i_1))
17520          (portref I2 (instanceref bResetTdc_i_1))
17521          (portref (member bRegPortInFlat 46))
17522          )
17523         )
17524         (net (rename bRegPortInFlat_40_ "bRegPortInFlat[40]") (joined
17525          (portref I1 (instanceref Gen0_FDCEx_i_3__0))
17526          (portref I1 (instanceref Gen0_FDCEx_i_3__1))
17527          (portref I1 (instanceref bRegPortOutLcl_Data__26__i_3))
17528          (portref I1 (instanceref bRegPortOutLcl_Data__27__i_3))
17529          (portref I1 (instanceref bRegPortOutLcl_Data__30__i_4))
17530          (portref I1 (instanceref bRegPortOutLcl_Data__31__i_5))
17531          (portref I2 (instanceref Gen0_FDCEx_i_3))
17532          (portref I2 (instanceref bPpsClkCrossDelayVal_3__i_3))
17533          (portref I2 (instanceref bPushPpsDelayVal_i_2))
17534          (portref I2 (instanceref bRegPortOutLcl_Data__28__i_4))
17535          (portref I2 (instanceref bRegPortOutLcl_Data__31__i_10))
17536          (portref I2 (instanceref bRegPortOutLcl_Data__31__i_9))
17537          (portref I2 (instanceref bRpOffsetStored_39__i_4))
17538          (portref I3 (instanceref bClearTdcRegs_i_2))
17539          (portref I3 (instanceref bRegPortOutLcl_Data__27__i_7))
17540          (portref I3 (instanceref bRegPortOutLcl_Data__28__i_7))
17541          (portref I3 (instanceref bScratch_31__i_1))
17542          (portref I4 (instanceref bRegPortOutLcl_Data__0__i_7))
17543          (portref I4 (instanceref bRegPortOutLcl_Data__25__i_3))
17544          (portref I4 (instanceref bRegPortOutLcl_Data__27__i_4))
17545          (portref I4 (instanceref bRegPortOutLcl_Data__28__i_5))
17546          (portref I4 (instanceref bRegPortOutLcl_Data__31__i_11))
17547          (portref I4 (instanceref bRegPortOutLcl_Data__31__i_7))
17548          (portref I4 (instanceref bRegPortOutLcl_Data__31__i_8))
17549          (portref (member bRegPortInFlat 9))
17550          )
17551         )
17552         (net (rename bRegPortInFlat_41_ "bRegPortInFlat[41]") (joined
17553          (portref I3 (instanceref aTdcResetLcl_i_4))
17554          (portref (member bRegPortInFlat 8))
17555          )
17556         )
17557         (net (rename bRegPortInFlat_42_ "bRegPortInFlat[42]") (joined
17558          (portref I0 (instanceref Gen0_FDCEx_i_3))
17559          (portref I0 (instanceref bPpsClkCrossDelayVal_3__i_3))
17560          (portref I0 (instanceref bPushPpsDelayVal_i_2))
17561          (portref I0 (instanceref bRegPortOutLcl_Data__26__i_3))
17562          (portref I0 (instanceref bRegPortOutLcl_Data__27__i_3))
17563          (portref I0 (instanceref bRegPortOutLcl_Data__31__i_5))
17564          (portref I0 (instanceref bRpOffsetStored_39__i_4))
17565          (portref I1 (instanceref bRegPortOutLcl_Data__28__i_9))
17566          (portref I1 (instanceref bRegPortOutLcl_Data__31__i_10))
17567          (portref I1 (instanceref bRegPortOutLcl_Data__31__i_9))
17568          (portref I1 (instanceref bRegPortOutLcl_Data__4__i_6))
17569          (portref I1 (instanceref bScratch_31__i_1))
17570          (portref I2 (instanceref bRegPortOutLcl_Data__13__i_1))
17571          (portref I2 (instanceref bRegPortOutLcl_Data__16__i_3))
17572          (portref I2 (instanceref bRegPortOutLcl_Data__19__i_1))
17573          (portref I2 (instanceref bRegPortOutLcl_Data__24__i_1))
17574          (portref I2 (instanceref bRegPortOutLcl_Data__25__i_1))
17575          (portref I2 (instanceref bRegPortOutLcl_Data__4__i_5))
17576          (portref I3 (instanceref Gen0_FDCEx_i_3__0))
17577          (portref I3 (instanceref Gen0_FDCEx_i_3__1))
17578          (portref I3 (instanceref bRegPortOutLcl_Data__16__i_4))
17579          (portref I4 (instanceref bRegPortOutLcl_Data__10__i_3))
17580          (portref I4 (instanceref bRegPortOutLcl_Data__28__i_3))
17581          (portref I4 (instanceref bRegPortOutLcl_Data__9__i_4))
17582          (portref I5 (instanceref bClearTdcRegs_i_2))
17583          (portref I5 (instanceref bRegPortOutLcl_Data__0__i_7))
17584          (portref I5 (instanceref bRegPortOutLcl_Data__27__i_4))
17585          (portref I5 (instanceref bRegPortOutLcl_Data__28__i_4))
17586          (portref I5 (instanceref bRegPortOutLcl_Data__30__i_4))
17587          (portref (member bRegPortInFlat 7))
17588          )
17589         )
17590         (net (rename bRegPortInFlat_43_ "bRegPortInFlat[43]") (joined
17591          (portref I2 (instanceref aTdcResetLcl_i_4))
17592          (portref (member bRegPortInFlat 6))
17593          )
17594         )
17595         (net (rename bRegPortInFlat_44_ "bRegPortInFlat[44]") (joined
17596          (portref I1 (instanceref aTdcResetLcl_i_4))
17597          (portref (member bRegPortInFlat 5))
17598          )
17599         )
17600         (net (rename bRegPortInFlat_45_ "bRegPortInFlat[45]") (joined
17601          (portref I0 (instanceref aTdcResetLcl_i_4))
17602          (portref (member bRegPortInFlat 4))
17603          )
17604         )
17605         (net (rename bRegPortInFlat_46_ "bRegPortInFlat[46]") (joined
17606          (portref I3 (instanceref aTdcResetLcl_i_5))
17607          (portref (member bRegPortInFlat 3))
17608          )
17609         )
17610         (net (rename bRegPortInFlat_47_ "bRegPortInFlat[47]") (joined
17611          (portref I2 (instanceref aTdcResetLcl_i_5))
17612          (portref (member bRegPortInFlat 2))
17613          )
17614         )
17615         (net (rename bRegPortInFlat_48_ "bRegPortInFlat[48]") (joined
17616          (portref I1 (instanceref aTdcResetLcl_i_5))
17617          (portref (member bRegPortInFlat 1))
17618          )
17619         )
17620         (net (rename bRegPortInFlat_49_ "bRegPortInFlat[49]") (joined
17621          (portref I0 (instanceref aTdcResetLcl_i_5))
17622          (portref (member bRegPortInFlat 0))
17623          )
17624         )
17625         (net (rename bRegPortInFlat_4_ "bRegPortInFlat[4]") (joined
17626          (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_2_))
17627          (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_2_))
17628          (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_2_))
17629          (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_2_))
17630          (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_2_))
17631          (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_2_))
17632          (portref I0 (instanceref bScratch_2__i_1))
17633          (portref (member bRegPortInFlat 45))
17634          )
17635         )
17636         (net (rename bRegPortInFlat_5_ "bRegPortInFlat[5]") (joined
17637          (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_3_))
17638          (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_3_))
17639          (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_3_))
17640          (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_3_))
17641          (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_3_))
17642          (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_3_))
17643          (portref I0 (instanceref bScratch_3__i_1))
17644          (portref (member bRegPortInFlat 44))
17645          )
17646         )
17647         (net (rename bRegPortInFlat_6_ "bRegPortInFlat[6]") (joined
17648          (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_4_))
17649          (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_4_))
17650          (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_4_))
17651          (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_4_))
17652          (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_4_))
17653          (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_4_))
17654          (portref I0 (instanceref aTdcResetLcl_i_1))
17655          (portref I0 (instanceref aTdcResetLcl_rep_i_1))
17656          (portref I0 (instanceref aTdcResetLcl_rep_i_1__0))
17657          (portref I0 (instanceref aTdcResetLcl_rep_i_1__1))
17658          (portref I0 (instanceref aTdcResetLcl_rep_i_1__2))
17659          (portref I0 (instanceref aTdcResetLcl_rep_i_1__3))
17660          (portref I0 (instanceref bScratch_4__i_1))
17661          (portref I3 (instanceref bEnableTdc_i_1))
17662          (portref (member bRegPortInFlat 43))
17663          )
17664         )
17665         (net (rename bRegPortInFlat_7_ "bRegPortInFlat[7]") (joined
17666          (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_5_))
17667          (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_5_))
17668          (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_5_))
17669          (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_5_))
17670          (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_5_))
17671          (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_5_))
17672          (portref I0 (instanceref bScratch_5__i_1))
17673          (portref I2 (instanceref bEnableTdc_i_1))
17674          (portref (member bRegPortInFlat 42))
17675          )
17676         )
17677         (net (rename bRegPortInFlat_8_ "bRegPortInFlat[8]") (joined
17678          (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_6_))
17679          (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_6_))
17680          (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_6_))
17681          (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_6_))
17682          (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_6_))
17683          (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_6_))
17684          (portref I0 (instanceref bScratch_6__i_1))
17685          (portref (member bRegPortInFlat 41))
17686          )
17687         )
17688         (net (rename bRegPortInFlat_9_ "bRegPortInFlat[9]") (joined
17689          (portref D (instanceref RePulse1CntHs_BlkIn_iLclStoredData_reg_7_))
17690          (portref D (instanceref RePulse2CntHs_BlkIn_iLclStoredData_reg_7_))
17691          (portref D (instanceref RpCntHs_BlkIn_iLclStoredData_reg_7_))
17692          (portref D (instanceref RptCntHs_BlkIn_iLclStoredData_reg_7_))
17693          (portref D (instanceref SpCntHs_BlkIn_iLclStoredData_reg_7_))
17694          (portref D (instanceref SptCntHs_BlkIn_iLclStoredData_reg_7_))
17695          (portref I0 (instanceref bScratch_7__i_1))
17696          (portref (member bRegPortInFlat 40))
17697          )
17698         )
17699         (net (rename bRegPortOutFlat_10_ "bRegPortOutFlat[10]") (joined
17700          (portref Q (instanceref bRegPortOutLcl_reg_Data__8_))
17701          (portref (member bRegPortOutFlat 23))
17702          )
17703         )
17704         (net (rename bRegPortOutFlat_11_ "bRegPortOutFlat[11]") (joined
17705          (portref Q (instanceref bRegPortOutLcl_reg_Data__9_))
17706          (portref (member bRegPortOutFlat 22))
17707          )
17708         )
17709         (net (rename bRegPortOutFlat_12_ "bRegPortOutFlat[12]") (joined
17710          (portref Q (instanceref bRegPortOutLcl_reg_Data__10_))
17711          (portref (member bRegPortOutFlat 21))
17712          )
17713         )
17714         (net (rename bRegPortOutFlat_13_ "bRegPortOutFlat[13]") (joined
17715          (portref Q (instanceref bRegPortOutLcl_reg_Data__11_))
17716          (portref (member bRegPortOutFlat 20))
17717          )
17718         )
17719         (net (rename bRegPortOutFlat_14_ "bRegPortOutFlat[14]") (joined
17720          (portref Q (instanceref bRegPortOutLcl_reg_Data__12_))
17721          (portref (member bRegPortOutFlat 19))
17722          )
17723         )
17724         (net (rename bRegPortOutFlat_15_ "bRegPortOutFlat[15]") (joined
17725          (portref Q (instanceref bRegPortOutLcl_reg_Data__13_))
17726          (portref (member bRegPortOutFlat 18))
17727          )
17728         )
17729         (net (rename bRegPortOutFlat_16_ "bRegPortOutFlat[16]") (joined
17730          (portref Q (instanceref bRegPortOutLcl_reg_Data__14_))
17731          (portref (member bRegPortOutFlat 17))
17732          )
17733         )
17734         (net (rename bRegPortOutFlat_17_ "bRegPortOutFlat[17]") (joined
17735          (portref Q (instanceref bRegPortOutLcl_reg_Data__15_))
17736          (portref (member bRegPortOutFlat 16))
17737          )
17738         )
17739         (net (rename bRegPortOutFlat_18_ "bRegPortOutFlat[18]") (joined
17740          (portref Q (instanceref bRegPortOutLcl_reg_Data__16_))
17741          (portref (member bRegPortOutFlat 15))
17742          )
17743         )
17744         (net (rename bRegPortOutFlat_19_ "bRegPortOutFlat[19]") (joined
17745          (portref Q (instanceref bRegPortOutLcl_reg_Data__17_))
17746          (portref (member bRegPortOutFlat 14))
17747          )
17748         )
17749         (net (rename bRegPortOutFlat_20_ "bRegPortOutFlat[20]") (joined
17750          (portref Q (instanceref bRegPortOutLcl_reg_Data__18_))
17751          (portref (member bRegPortOutFlat 13))
17752          )
17753         )
17754         (net (rename bRegPortOutFlat_21_ "bRegPortOutFlat[21]") (joined
17755          (portref Q (instanceref bRegPortOutLcl_reg_Data__19_))
17756          (portref (member bRegPortOutFlat 12))
17757          )
17758         )
17759         (net (rename bRegPortOutFlat_22_ "bRegPortOutFlat[22]") (joined
17760          (portref Q (instanceref bRegPortOutLcl_reg_Data__20_))
17761          (portref (member bRegPortOutFlat 11))
17762          )
17763         )
17764         (net (rename bRegPortOutFlat_23_ "bRegPortOutFlat[23]") (joined
17765          (portref Q (instanceref bRegPortOutLcl_reg_Data__21_))
17766          (portref (member bRegPortOutFlat 10))
17767          )
17768         )
17769         (net (rename bRegPortOutFlat_24_ "bRegPortOutFlat[24]") (joined
17770          (portref Q (instanceref bRegPortOutLcl_reg_Data__22_))
17771          (portref (member bRegPortOutFlat 9))
17772          )
17773         )
17774         (net (rename bRegPortOutFlat_25_ "bRegPortOutFlat[25]") (joined
17775          (portref Q (instanceref bRegPortOutLcl_reg_Data__23_))
17776          (portref (member bRegPortOutFlat 8))
17777          )
17778         )
17779         (net (rename bRegPortOutFlat_26_ "bRegPortOutFlat[26]") (joined
17780          (portref Q (instanceref bRegPortOutLcl_reg_Data__24_))
17781          (portref (member bRegPortOutFlat 7))
17782          )
17783         )
17784         (net (rename bRegPortOutFlat_27_ "bRegPortOutFlat[27]") (joined
17785          (portref Q (instanceref bRegPortOutLcl_reg_Data__25_))
17786          (portref (member bRegPortOutFlat 6))
17787          )
17788         )
17789         (net (rename bRegPortOutFlat_28_ "bRegPortOutFlat[28]") (joined
17790          (portref Q (instanceref bRegPortOutLcl_reg_Data__26_))
17791          (portref (member bRegPortOutFlat 5))
17792          )
17793         )
17794         (net (rename bRegPortOutFlat_29_ "bRegPortOutFlat[29]") (joined
17795          (portref Q (instanceref bRegPortOutLcl_reg_Data__27_))
17796          (portref (member bRegPortOutFlat 4))
17797          )
17798         )
17799         (net (rename bRegPortOutFlat_2_ "bRegPortOutFlat[2]") (joined
17800          (portref Q (instanceref bRegPortOutLcl_reg_Data__0_))
17801          (portref (member bRegPortOutFlat 31))
17802          )
17803         )
17804         (net (rename bRegPortOutFlat_30_ "bRegPortOutFlat[30]") (joined
17805          (portref Q (instanceref bRegPortOutLcl_reg_Data__28_))
17806          (portref (member bRegPortOutFlat 3))
17807          )
17808         )
17809         (net (rename bRegPortOutFlat_31_ "bRegPortOutFlat[31]") (joined
17810          (portref Q (instanceref bRegPortOutLcl_reg_Data__29_))
17811          (portref (member bRegPortOutFlat 2))
17812          )
17813         )
17814         (net (rename bRegPortOutFlat_32_ "bRegPortOutFlat[32]") (joined
17815          (portref Q (instanceref bRegPortOutLcl_reg_Data__30_))
17816          (portref (member bRegPortOutFlat 1))
17817          )
17818         )
17819         (net (rename bRegPortOutFlat_33_ "bRegPortOutFlat[33]") (joined
17820          (portref Q (instanceref bRegPortOutLcl_reg_Data__31_))
17821          (portref (member bRegPortOutFlat 0))
17822          )
17823         )
17824         (net (rename bRegPortOutFlat_3_ "bRegPortOutFlat[3]") (joined
17825          (portref Q (instanceref bRegPortOutLcl_reg_Data__1_))
17826          (portref (member bRegPortOutFlat 30))
17827          )
17828         )
17829         (net (rename bRegPortOutFlat_4_ "bRegPortOutFlat[4]") (joined
17830          (portref Q (instanceref bRegPortOutLcl_reg_Data__2_))
17831          (portref (member bRegPortOutFlat 29))
17832          )
17833         )
17834         (net (rename bRegPortOutFlat_5_ "bRegPortOutFlat[5]") (joined
17835          (portref Q (instanceref bRegPortOutLcl_reg_Data__3_))
17836          (portref (member bRegPortOutFlat 28))
17837          )
17838         )
17839         (net (rename bRegPortOutFlat_6_ "bRegPortOutFlat[6]") (joined
17840          (portref Q (instanceref bRegPortOutLcl_reg_Data__4_))
17841          (portref (member bRegPortOutFlat 27))
17842          )
17843         )
17844         (net (rename bRegPortOutFlat_7_ "bRegPortOutFlat[7]") (joined
17845          (portref Q (instanceref bRegPortOutLcl_reg_Data__5_))
17846          (portref (member bRegPortOutFlat 26))
17847          )
17848         )
17849         (net (rename bRegPortOutFlat_8_ "bRegPortOutFlat[8]") (joined
17850          (portref Q (instanceref bRegPortOutLcl_reg_Data__6_))
17851          (portref (member bRegPortOutFlat 25))
17852          )
17853         )
17854         (net (rename bRegPortOutFlat_9_ "bRegPortOutFlat[9]") (joined
17855          (portref Q (instanceref bRegPortOutLcl_reg_Data__7_))
17856          (portref (member bRegPortOutFlat 24))
17857          )
17858         )
17859         (net (rename bRegPortOutLcl_Data__0__i_1_n_0 "bRegPortOutLcl[Data][0]_i_1_n_0") (joined
17860          (portref D (instanceref bRegPortOutLcl_reg_Data__0_))
17861          (portref O (instanceref bRegPortOutLcl_Data__0__i_1))
17862          )
17863         )
17864         (net (rename bRegPortOutLcl_Data__0__i_2_n_0 "bRegPortOutLcl[Data][0]_i_2_n_0") (joined
17865          (portref I0 (instanceref bRegPortOutLcl_Data__0__i_1))
17866          (portref O (instanceref bRegPortOutLcl_Data__0__i_2))
17867          )
17868         )
17869         (net (rename bRegPortOutLcl_Data__0__i_3_n_0 "bRegPortOutLcl[Data][0]_i_3_n_0") (joined
17870          (portref I1 (instanceref bRegPortOutLcl_Data__0__i_1))
17871          (portref O (instanceref bRegPortOutLcl_Data__0__i_3))
17872          )
17873         )
17874         (net (rename bRegPortOutLcl_Data__0__i_4_n_0 "bRegPortOutLcl[Data][0]_i_4_n_0") (joined
17875          (portref I2 (instanceref bRegPortOutLcl_Data__0__i_1))
17876          (portref O (instanceref bRegPortOutLcl_Data__0__i_4))
17877          )
17878         )
17879         (net (rename bRegPortOutLcl_Data__0__i_5_n_0 "bRegPortOutLcl[Data][0]_i_5_n_0") (joined
17880          (portref I3 (instanceref bRegPortOutLcl_Data__0__i_1))
17881          (portref O (instanceref bRegPortOutLcl_Data__0__i_5))
17882          )
17883         )
17884         (net (rename bRegPortOutLcl_Data__0__i_6_n_0 "bRegPortOutLcl[Data][0]_i_6_n_0") (joined
17885          (portref I4 (instanceref bRegPortOutLcl_Data__0__i_1))
17886          (portref O (instanceref bRegPortOutLcl_Data__0__i_6))
17887          )
17888         )
17889         (net (rename bRegPortOutLcl_Data__0__i_7_n_0 "bRegPortOutLcl[Data][0]_i_7_n_0") (joined
17890          (portref I3 (instanceref bRegPortOutLcl_Data__0__i_5))
17891          (portref O (instanceref bRegPortOutLcl_Data__0__i_7))
17892          )
17893         )
17894         (net (rename bRegPortOutLcl_Data__10__i_1_n_0 "bRegPortOutLcl[Data][10]_i_1_n_0") (joined
17895          (portref D (instanceref bRegPortOutLcl_reg_Data__10_))
17896          (portref O (instanceref bRegPortOutLcl_Data__10__i_1))
17897          )
17898         )
17899         (net (rename bRegPortOutLcl_Data__10__i_2_n_0 "bRegPortOutLcl[Data][10]_i_2_n_0") (joined
17900          (portref I0 (instanceref bRegPortOutLcl_Data__10__i_1))
17901          (portref O (instanceref bRegPortOutLcl_Data__10__i_2))
17902          )
17903         )
17904         (net (rename bRegPortOutLcl_Data__10__i_3_n_0 "bRegPortOutLcl[Data][10]_i_3_n_0") (joined
17905          (portref I1 (instanceref bRegPortOutLcl_Data__10__i_1))
17906          (portref O (instanceref bRegPortOutLcl_Data__10__i_3))
17907          )
17908         )
17909         (net (rename bRegPortOutLcl_Data__10__i_4_n_0 "bRegPortOutLcl[Data][10]_i_4_n_0") (joined
17910          (portref I5 (instanceref bRegPortOutLcl_Data__10__i_1))
17911          (portref O (instanceref bRegPortOutLcl_Data__10__i_4))
17912          )
17913         )
17914         (net (rename bRegPortOutLcl_Data__11__i_1_n_0 "bRegPortOutLcl[Data][11]_i_1_n_0") (joined
17915          (portref D (instanceref bRegPortOutLcl_reg_Data__11_))
17916          (portref O (instanceref bRegPortOutLcl_Data__11__i_1))
17917          )
17918         )
17919         (net (rename bRegPortOutLcl_Data__11__i_2_n_0 "bRegPortOutLcl[Data][11]_i_2_n_0") (joined
17920          (portref I0 (instanceref bRegPortOutLcl_Data__11__i_1))
17921          (portref O (instanceref bRegPortOutLcl_Data__11__i_2))
17922          )
17923         )
17924         (net (rename bRegPortOutLcl_Data__11__i_3_n_0 "bRegPortOutLcl[Data][11]_i_3_n_0") (joined
17925          (portref I1 (instanceref bRegPortOutLcl_Data__11__i_1))
17926          (portref O (instanceref bRegPortOutLcl_Data__11__i_3))
17927          )
17928         )
17929         (net (rename bRegPortOutLcl_Data__11__i_4_n_0 "bRegPortOutLcl[Data][11]_i_4_n_0") (joined
17930          (portref I3 (instanceref bRegPortOutLcl_Data__11__i_1))
17931          (portref O (instanceref bRegPortOutLcl_Data__11__i_4))
17932          )
17933         )
17934         (net (rename bRegPortOutLcl_Data__12__i_1_n_0 "bRegPortOutLcl[Data][12]_i_1_n_0") (joined
17935          (portref D (instanceref bRegPortOutLcl_reg_Data__12_))
17936          (portref O (instanceref bRegPortOutLcl_Data__12__i_1))
17937          )
17938         )
17939         (net (rename bRegPortOutLcl_Data__12__i_2_n_0 "bRegPortOutLcl[Data][12]_i_2_n_0") (joined
17940          (portref I0 (instanceref bRegPortOutLcl_Data__12__i_1))
17941          (portref O (instanceref bRegPortOutLcl_Data__12__i_2))
17942          )
17943         )
17944         (net (rename bRegPortOutLcl_Data__12__i_3_n_0 "bRegPortOutLcl[Data][12]_i_3_n_0") (joined
17945          (portref I4 (instanceref bRegPortOutLcl_Data__12__i_1))
17946          (portref O (instanceref bRegPortOutLcl_Data__12__i_3))
17947          )
17948         )
17949         (net (rename bRegPortOutLcl_Data__12__i_4_n_0 "bRegPortOutLcl[Data][12]_i_4_n_0") (joined
17950          (portref I5 (instanceref bRegPortOutLcl_Data__12__i_1))
17951          (portref O (instanceref bRegPortOutLcl_Data__12__i_4))
17952          )
17953         )
17954         (net (rename bRegPortOutLcl_Data__13__i_1_n_0 "bRegPortOutLcl[Data][13]_i_1_n_0") (joined
17955          (portref D (instanceref bRegPortOutLcl_reg_Data__13_))
17956          (portref O (instanceref bRegPortOutLcl_Data__13__i_1))
17957          )
17958         )
17959         (net (rename bRegPortOutLcl_Data__13__i_2_n_0 "bRegPortOutLcl[Data][13]_i_2_n_0") (joined
17960          (portref I0 (instanceref bRegPortOutLcl_Data__13__i_1))
17961          (portref O (instanceref bRegPortOutLcl_Data__13__i_2))
17962          )
17963         )
17964         (net (rename bRegPortOutLcl_Data__13__i_3_n_0 "bRegPortOutLcl[Data][13]_i_3_n_0") (joined
17965          (portref I4 (instanceref bRegPortOutLcl_Data__13__i_1))
17966          (portref O (instanceref bRegPortOutLcl_Data__13__i_3))
17967          )
17968         )
17969         (net (rename bRegPortOutLcl_Data__13__i_4_n_0 "bRegPortOutLcl[Data][13]_i_4_n_0") (joined
17970          (portref I5 (instanceref bRegPortOutLcl_Data__13__i_1))
17971          (portref O (instanceref bRegPortOutLcl_Data__13__i_4))
17972          )
17973         )
17974         (net (rename bRegPortOutLcl_Data__14__i_1_n_0 "bRegPortOutLcl[Data][14]_i_1_n_0") (joined
17975          (portref D (instanceref bRegPortOutLcl_reg_Data__14_))
17976          (portref O (instanceref bRegPortOutLcl_Data__14__i_1))
17977          )
17978         )
17979         (net (rename bRegPortOutLcl_Data__14__i_2_n_0 "bRegPortOutLcl[Data][14]_i_2_n_0") (joined
17980          (portref I0 (instanceref bRegPortOutLcl_Data__14__i_1))
17981          (portref O (instanceref bRegPortOutLcl_Data__14__i_2))
17982          )
17983         )
17984         (net (rename bRegPortOutLcl_Data__14__i_3_n_0 "bRegPortOutLcl[Data][14]_i_3_n_0") (joined
17985          (portref I1 (instanceref bRegPortOutLcl_Data__14__i_1))
17986          (portref O (instanceref bRegPortOutLcl_Data__14__i_3))
17987          )
17988         )
17989         (net (rename bRegPortOutLcl_Data__14__i_4_n_0 "bRegPortOutLcl[Data][14]_i_4_n_0") (joined
17990          (portref I3 (instanceref bRegPortOutLcl_Data__14__i_1))
17991          (portref O (instanceref bRegPortOutLcl_Data__14__i_4))
17992          )
17993         )
17994         (net (rename bRegPortOutLcl_Data__15__i_1_n_0 "bRegPortOutLcl[Data][15]_i_1_n_0") (joined
17995          (portref D (instanceref bRegPortOutLcl_reg_Data__15_))
17996          (portref O (instanceref bRegPortOutLcl_Data__15__i_1))
17997          )
17998         )
17999         (net (rename bRegPortOutLcl_Data__15__i_2_n_0 "bRegPortOutLcl[Data][15]_i_2_n_0") (joined
18000          (portref I0 (instanceref bRegPortOutLcl_Data__15__i_1))
18001          (portref O (instanceref bRegPortOutLcl_Data__15__i_2))
18002          )
18003         )
18004         (net (rename bRegPortOutLcl_Data__15__i_3_n_0 "bRegPortOutLcl[Data][15]_i_3_n_0") (joined
18005          (portref I1 (instanceref bRegPortOutLcl_Data__15__i_1))
18006          (portref O (instanceref bRegPortOutLcl_Data__15__i_3))
18007          )
18008         )
18009         (net (rename bRegPortOutLcl_Data__15__i_4_n_0 "bRegPortOutLcl[Data][15]_i_4_n_0") (joined
18010          (portref I2 (instanceref bRegPortOutLcl_Data__15__i_1))
18011          (portref O (instanceref bRegPortOutLcl_Data__15__i_4))
18012          )
18013         )
18014         (net (rename bRegPortOutLcl_Data__16__i_1_n_0 "bRegPortOutLcl[Data][16]_i_1_n_0") (joined
18015          (portref D (instanceref bRegPortOutLcl_reg_Data__16_))
18016          (portref O (instanceref bRegPortOutLcl_Data__16__i_1))
18017          )
18018         )
18019         (net (rename bRegPortOutLcl_Data__16__i_2_n_0 "bRegPortOutLcl[Data][16]_i_2_n_0") (joined
18020          (portref I0 (instanceref bRegPortOutLcl_Data__16__i_1))
18021          (portref O (instanceref bRegPortOutLcl_Data__16__i_2))
18022          )
18023         )
18024         (net (rename bRegPortOutLcl_Data__16__i_3_n_0 "bRegPortOutLcl[Data][16]_i_3_n_0") (joined
18025          (portref I1 (instanceref bRegPortOutLcl_Data__16__i_1))
18026          (portref O (instanceref bRegPortOutLcl_Data__16__i_3))
18027          )
18028         )
18029         (net (rename bRegPortOutLcl_Data__16__i_4_n_0 "bRegPortOutLcl[Data][16]_i_4_n_0") (joined
18030          (portref I4 (instanceref bRegPortOutLcl_Data__16__i_1))
18031          (portref O (instanceref bRegPortOutLcl_Data__16__i_4))
18032          )
18033         )
18034         (net (rename bRegPortOutLcl_Data__16__i_5_n_0 "bRegPortOutLcl[Data][16]_i_5_n_0") (joined
18035          (portref I5 (instanceref bRegPortOutLcl_Data__16__i_1))
18036          (portref O (instanceref bRegPortOutLcl_Data__16__i_5))
18037          )
18038         )
18039         (net (rename bRegPortOutLcl_Data__17__i_1_n_0 "bRegPortOutLcl[Data][17]_i_1_n_0") (joined
18040          (portref D (instanceref bRegPortOutLcl_reg_Data__17_))
18041          (portref O (instanceref bRegPortOutLcl_Data__17__i_1))
18042          )
18043         )
18044         (net (rename bRegPortOutLcl_Data__17__i_2_n_0 "bRegPortOutLcl[Data][17]_i_2_n_0") (joined
18045          (portref I0 (instanceref bRegPortOutLcl_Data__17__i_1))
18046          (portref O (instanceref bRegPortOutLcl_Data__17__i_2))
18047          )
18048         )
18049         (net (rename bRegPortOutLcl_Data__17__i_3_n_0 "bRegPortOutLcl[Data][17]_i_3_n_0") (joined
18050          (portref I4 (instanceref bRegPortOutLcl_Data__17__i_1))
18051          (portref O (instanceref bRegPortOutLcl_Data__17__i_3))
18052          )
18053         )
18054         (net (rename bRegPortOutLcl_Data__17__i_4_n_0 "bRegPortOutLcl[Data][17]_i_4_n_0") (joined
18055          (portref I5 (instanceref bRegPortOutLcl_Data__17__i_1))
18056          (portref O (instanceref bRegPortOutLcl_Data__17__i_4))
18057          )
18058         )
18059         (net (rename bRegPortOutLcl_Data__18__i_1_n_0 "bRegPortOutLcl[Data][18]_i_1_n_0") (joined
18060          (portref D (instanceref bRegPortOutLcl_reg_Data__18_))
18061          (portref O (instanceref bRegPortOutLcl_Data__18__i_1))
18062          )
18063         )
18064         (net (rename bRegPortOutLcl_Data__18__i_2_n_0 "bRegPortOutLcl[Data][18]_i_2_n_0") (joined
18065          (portref I0 (instanceref bRegPortOutLcl_Data__18__i_1))
18066          (portref O (instanceref bRegPortOutLcl_Data__18__i_2))
18067          )
18068         )
18069         (net (rename bRegPortOutLcl_Data__18__i_3_n_0 "bRegPortOutLcl[Data][18]_i_3_n_0") (joined
18070          (portref I3 (instanceref bRegPortOutLcl_Data__18__i_1))
18071          (portref O (instanceref bRegPortOutLcl_Data__18__i_3))
18072          )
18073         )
18074         (net (rename bRegPortOutLcl_Data__18__i_4_n_0 "bRegPortOutLcl[Data][18]_i_4_n_0") (joined
18075          (portref I4 (instanceref bRegPortOutLcl_Data__18__i_1))
18076          (portref O (instanceref bRegPortOutLcl_Data__18__i_4))
18077          )
18078         )
18079         (net (rename bRegPortOutLcl_Data__19__i_1_n_0 "bRegPortOutLcl[Data][19]_i_1_n_0") (joined
18080          (portref D (instanceref bRegPortOutLcl_reg_Data__19_))
18081          (portref O (instanceref bRegPortOutLcl_Data__19__i_1))
18082          )
18083         )
18084         (net (rename bRegPortOutLcl_Data__19__i_2_n_0 "bRegPortOutLcl[Data][19]_i_2_n_0") (joined
18085          (portref I0 (instanceref bRegPortOutLcl_Data__19__i_1))
18086          (portref O (instanceref bRegPortOutLcl_Data__19__i_2))
18087          )
18088         )
18089         (net (rename bRegPortOutLcl_Data__19__i_3_n_0 "bRegPortOutLcl[Data][19]_i_3_n_0") (joined
18090          (portref I4 (instanceref bRegPortOutLcl_Data__19__i_1))
18091          (portref O (instanceref bRegPortOutLcl_Data__19__i_3))
18092          )
18093         )
18094         (net (rename bRegPortOutLcl_Data__19__i_4_n_0 "bRegPortOutLcl[Data][19]_i_4_n_0") (joined
18095          (portref I5 (instanceref bRegPortOutLcl_Data__19__i_1))
18096          (portref O (instanceref bRegPortOutLcl_Data__19__i_4))
18097          )
18098         )
18099         (net (rename bRegPortOutLcl_Data__1__i_1_n_0 "bRegPortOutLcl[Data][1]_i_1_n_0") (joined
18100          (portref D (instanceref bRegPortOutLcl_reg_Data__1_))
18101          (portref O (instanceref bRegPortOutLcl_Data__1__i_1))
18102          )
18103         )
18104         (net (rename bRegPortOutLcl_Data__1__i_2_n_0 "bRegPortOutLcl[Data][1]_i_2_n_0") (joined
18105          (portref I0 (instanceref bRegPortOutLcl_Data__1__i_1))
18106          (portref O (instanceref bRegPortOutLcl_Data__1__i_2))
18107          )
18108         )
18109         (net (rename bRegPortOutLcl_Data__1__i_3_n_0 "bRegPortOutLcl[Data][1]_i_3_n_0") (joined
18110          (portref I1 (instanceref bRegPortOutLcl_Data__1__i_1))
18111          (portref O (instanceref bRegPortOutLcl_Data__1__i_3))
18112          )
18113         )
18114         (net (rename bRegPortOutLcl_Data__1__i_4_n_0 "bRegPortOutLcl[Data][1]_i_4_n_0") (joined
18115          (portref I3 (instanceref bRegPortOutLcl_Data__1__i_1))
18116          (portref O (instanceref bRegPortOutLcl_Data__1__i_4))
18117          )
18118         )
18119         (net (rename bRegPortOutLcl_Data__1__i_5_n_0 "bRegPortOutLcl[Data][1]_i_5_n_0") (joined
18120          (portref I4 (instanceref bRegPortOutLcl_Data__1__i_1))
18121          (portref O (instanceref bRegPortOutLcl_Data__1__i_5))
18122          )
18123         )
18124         (net (rename bRegPortOutLcl_Data__20__i_1_n_0 "bRegPortOutLcl[Data][20]_i_1_n_0") (joined
18125          (portref D (instanceref bRegPortOutLcl_reg_Data__20_))
18126          (portref O (instanceref bRegPortOutLcl_Data__20__i_1))
18127          )
18128         )
18129         (net (rename bRegPortOutLcl_Data__20__i_2_n_0 "bRegPortOutLcl[Data][20]_i_2_n_0") (joined
18130          (portref I0 (instanceref bRegPortOutLcl_Data__20__i_1))
18131          (portref O (instanceref bRegPortOutLcl_Data__20__i_2))
18132          )
18133         )
18134         (net (rename bRegPortOutLcl_Data__20__i_3_n_0 "bRegPortOutLcl[Data][20]_i_3_n_0") (joined
18135          (portref I1 (instanceref bRegPortOutLcl_Data__20__i_1))
18136          (portref O (instanceref bRegPortOutLcl_Data__20__i_3))
18137          )
18138         )
18139         (net (rename bRegPortOutLcl_Data__20__i_4_n_0 "bRegPortOutLcl[Data][20]_i_4_n_0") (joined
18140          (portref I3 (instanceref bRegPortOutLcl_Data__20__i_1))
18141          (portref O (instanceref bRegPortOutLcl_Data__20__i_4))
18142          )
18143         )
18144         (net (rename bRegPortOutLcl_Data__21__i_1_n_0 "bRegPortOutLcl[Data][21]_i_1_n_0") (joined
18145          (portref D (instanceref bRegPortOutLcl_reg_Data__21_))
18146          (portref O (instanceref bRegPortOutLcl_Data__21__i_1))
18147          )
18148         )
18149         (net (rename bRegPortOutLcl_Data__21__i_2_n_0 "bRegPortOutLcl[Data][21]_i_2_n_0") (joined
18150          (portref I0 (instanceref bRegPortOutLcl_Data__21__i_1))
18151          (portref O (instanceref bRegPortOutLcl_Data__21__i_2))
18152          )
18153         )
18154         (net (rename bRegPortOutLcl_Data__21__i_3_n_0 "bRegPortOutLcl[Data][21]_i_3_n_0") (joined
18155          (portref I1 (instanceref bRegPortOutLcl_Data__21__i_1))
18156          (portref O (instanceref bRegPortOutLcl_Data__21__i_3))
18157          )
18158         )
18159         (net (rename bRegPortOutLcl_Data__21__i_4_n_0 "bRegPortOutLcl[Data][21]_i_4_n_0") (joined
18160          (portref I3 (instanceref bRegPortOutLcl_Data__21__i_1))
18161          (portref O (instanceref bRegPortOutLcl_Data__21__i_4))
18162          )
18163         )
18164         (net (rename bRegPortOutLcl_Data__22__i_1_n_0 "bRegPortOutLcl[Data][22]_i_1_n_0") (joined
18165          (portref D (instanceref bRegPortOutLcl_reg_Data__22_))
18166          (portref O (instanceref bRegPortOutLcl_Data__22__i_1))
18167          )
18168         )
18169         (net (rename bRegPortOutLcl_Data__22__i_2_n_0 "bRegPortOutLcl[Data][22]_i_2_n_0") (joined
18170          (portref I0 (instanceref bRegPortOutLcl_Data__22__i_1))
18171          (portref O (instanceref bRegPortOutLcl_Data__22__i_2))
18172          )
18173         )
18174         (net (rename bRegPortOutLcl_Data__22__i_3_n_0 "bRegPortOutLcl[Data][22]_i_3_n_0") (joined
18175          (portref I1 (instanceref bRegPortOutLcl_Data__22__i_1))
18176          (portref O (instanceref bRegPortOutLcl_Data__22__i_3))
18177          )
18178         )
18179         (net (rename bRegPortOutLcl_Data__22__i_4_n_0 "bRegPortOutLcl[Data][22]_i_4_n_0") (joined
18180          (portref I3 (instanceref bRegPortOutLcl_Data__22__i_1))
18181          (portref O (instanceref bRegPortOutLcl_Data__22__i_4))
18182          )
18183         )
18184         (net (rename bRegPortOutLcl_Data__23__i_1_n_0 "bRegPortOutLcl[Data][23]_i_1_n_0") (joined
18185          (portref D (instanceref bRegPortOutLcl_reg_Data__23_))
18186          (portref O (instanceref bRegPortOutLcl_Data__23__i_1))
18187          )
18188         )
18189         (net (rename bRegPortOutLcl_Data__23__i_2_n_0 "bRegPortOutLcl[Data][23]_i_2_n_0") (joined
18190          (portref I0 (instanceref bRegPortOutLcl_Data__23__i_1))
18191          (portref O (instanceref bRegPortOutLcl_Data__23__i_2))
18192          )
18193         )
18194         (net (rename bRegPortOutLcl_Data__23__i_3_n_0 "bRegPortOutLcl[Data][23]_i_3_n_0") (joined
18195          (portref I1 (instanceref bRegPortOutLcl_Data__23__i_1))
18196          (portref O (instanceref bRegPortOutLcl_Data__23__i_3))
18197          )
18198         )
18199         (net (rename bRegPortOutLcl_Data__23__i_4_n_0 "bRegPortOutLcl[Data][23]_i_4_n_0") (joined
18200          (portref I2 (instanceref bRegPortOutLcl_Data__23__i_1))
18201          (portref O (instanceref bRegPortOutLcl_Data__23__i_4))
18202          )
18203         )
18204         (net (rename bRegPortOutLcl_Data__24__i_1_n_0 "bRegPortOutLcl[Data][24]_i_1_n_0") (joined
18205          (portref D (instanceref bRegPortOutLcl_reg_Data__24_))
18206          (portref O (instanceref bRegPortOutLcl_Data__24__i_1))
18207          )
18208         )
18209         (net (rename bRegPortOutLcl_Data__24__i_2_n_0 "bRegPortOutLcl[Data][24]_i_2_n_0") (joined
18210          (portref I0 (instanceref bRegPortOutLcl_Data__24__i_1))
18211          (portref O (instanceref bRegPortOutLcl_Data__24__i_2))
18212          )
18213         )
18214         (net (rename bRegPortOutLcl_Data__24__i_3_n_0 "bRegPortOutLcl[Data][24]_i_3_n_0") (joined
18215          (portref I4 (instanceref bRegPortOutLcl_Data__24__i_1))
18216          (portref O (instanceref bRegPortOutLcl_Data__24__i_3))
18217          )
18218         )
18219         (net (rename bRegPortOutLcl_Data__24__i_4_n_0 "bRegPortOutLcl[Data][24]_i_4_n_0") (joined
18220          (portref I5 (instanceref bRegPortOutLcl_Data__24__i_1))
18221          (portref O (instanceref bRegPortOutLcl_Data__24__i_4))
18222          )
18223         )
18224         (net (rename bRegPortOutLcl_Data__25__i_1_n_0 "bRegPortOutLcl[Data][25]_i_1_n_0") (joined
18225          (portref D (instanceref bRegPortOutLcl_reg_Data__25_))
18226          (portref O (instanceref bRegPortOutLcl_Data__25__i_1))
18227          )
18228         )
18229         (net (rename bRegPortOutLcl_Data__25__i_2_n_0 "bRegPortOutLcl[Data][25]_i_2_n_0") (joined
18230          (portref I0 (instanceref bRegPortOutLcl_Data__25__i_1))
18231          (portref O (instanceref bRegPortOutLcl_Data__25__i_2))
18232          )
18233         )
18234         (net (rename bRegPortOutLcl_Data__25__i_3_n_0 "bRegPortOutLcl[Data][25]_i_3_n_0") (joined
18235          (portref I1 (instanceref bRegPortOutLcl_Data__0__i_6))
18236          (portref I2 (instanceref bRegPortOutLcl_Data__1__i_2))
18237          (portref I2 (instanceref bRegPortOutLcl_Data__5__i_2))
18238          (portref I2 (instanceref bRegPortOutLcl_Data__9__i_3))
18239          (portref I3 (instanceref bRegPortOutLcl_Data__13__i_1))
18240          (portref I3 (instanceref bRegPortOutLcl_Data__16__i_1))
18241          (portref I3 (instanceref bRegPortOutLcl_Data__19__i_1))
18242          (portref I3 (instanceref bRegPortOutLcl_Data__24__i_1))
18243          (portref I3 (instanceref bRegPortOutLcl_Data__25__i_1))
18244          (portref O (instanceref bRegPortOutLcl_Data__25__i_3))
18245          )
18246         )
18247         (net (rename bRegPortOutLcl_Data__25__i_4_n_0 "bRegPortOutLcl[Data][25]_i_4_n_0") (joined
18248          (portref I4 (instanceref bRegPortOutLcl_Data__25__i_1))
18249          (portref O (instanceref bRegPortOutLcl_Data__25__i_4))
18250          )
18251         )
18252         (net (rename bRegPortOutLcl_Data__25__i_5_n_0 "bRegPortOutLcl[Data][25]_i_5_n_0") (joined
18253          (portref I5 (instanceref bRegPortOutLcl_Data__25__i_1))
18254          (portref O (instanceref bRegPortOutLcl_Data__25__i_5))
18255          )
18256         )
18257         (net (rename bRegPortOutLcl_Data__26__i_1_n_0 "bRegPortOutLcl[Data][26]_i_1_n_0") (joined
18258          (portref D (instanceref bRegPortOutLcl_reg_Data__26_))
18259          (portref O (instanceref bRegPortOutLcl_Data__26__i_1))
18260          )
18261         )
18262         (net (rename bRegPortOutLcl_Data__26__i_2_n_0 "bRegPortOutLcl[Data][26]_i_2_n_0") (joined
18263          (portref I0 (instanceref bRegPortOutLcl_Data__26__i_1))
18264          (portref O (instanceref bRegPortOutLcl_Data__26__i_2))
18265          )
18266         )
18267         (net (rename bRegPortOutLcl_Data__26__i_3_n_0 "bRegPortOutLcl[Data][26]_i_3_n_0") (joined
18268          (portref I1 (instanceref bRegPortOutLcl_Data__18__i_1))
18269          (portref I1 (instanceref bRegPortOutLcl_Data__26__i_1))
18270          (portref I1 (instanceref bRegPortOutLcl_Data__3__i_4))
18271          (portref I1 (instanceref bRegPortOutLcl_Data__7__i_4))
18272          (portref I1 (instanceref bRegPortOutLcl_Data__8__i_4))
18273          (portref I3 (instanceref bRegPortOutLcl_Data__0__i_6))
18274          (portref I4 (instanceref bRegPortOutLcl_Data__6__i_1))
18275          (portref I5 (instanceref bRegPortOutLcl_Data__11__i_4))
18276          (portref I5 (instanceref bRegPortOutLcl_Data__13__i_2))
18277          (portref I5 (instanceref bRegPortOutLcl_Data__14__i_4))
18278          (portref I5 (instanceref bRegPortOutLcl_Data__15__i_4))
18279          (portref I5 (instanceref bRegPortOutLcl_Data__19__i_2))
18280          (portref I5 (instanceref bRegPortOutLcl_Data__1__i_2))
18281          (portref I5 (instanceref bRegPortOutLcl_Data__20__i_4))
18282          (portref I5 (instanceref bRegPortOutLcl_Data__21__i_4))
18283          (portref I5 (instanceref bRegPortOutLcl_Data__22__i_4))
18284          (portref I5 (instanceref bRegPortOutLcl_Data__23__i_4))
18285          (portref I5 (instanceref bRegPortOutLcl_Data__24__i_2))
18286          (portref I5 (instanceref bRegPortOutLcl_Data__25__i_2))
18287          (portref I5 (instanceref bRegPortOutLcl_Data__29__i_4))
18288          (portref I5 (instanceref bRegPortOutLcl_Data__30__i_5))
18289          (portref I5 (instanceref bRegPortOutLcl_Data__31__i_4))
18290          (portref I5 (instanceref bRegPortOutLcl_Data__5__i_2))
18291          (portref O (instanceref bRegPortOutLcl_Data__26__i_3))
18292          )
18293         )
18294         (net (rename bRegPortOutLcl_Data__26__i_4_n_0 "bRegPortOutLcl[Data][26]_i_4_n_0") (joined
18295          (portref I3 (instanceref bRegPortOutLcl_Data__26__i_1))
18296          (portref O (instanceref bRegPortOutLcl_Data__26__i_4))
18297          )
18298         )
18299         (net (rename bRegPortOutLcl_Data__26__i_5_n_0 "bRegPortOutLcl[Data][26]_i_5_n_0") (joined
18300          (portref I4 (instanceref bRegPortOutLcl_Data__26__i_1))
18301          (portref O (instanceref bRegPortOutLcl_Data__26__i_5))
18302          )
18303         )
18304         (net (rename bRegPortOutLcl_Data__27__i_1_n_0 "bRegPortOutLcl[Data][27]_i_1_n_0") (joined
18305          (portref D (instanceref bRegPortOutLcl_reg_Data__27_))
18306          (portref O (instanceref bRegPortOutLcl_Data__27__i_1))
18307          )
18308         )
18309         (net (rename bRegPortOutLcl_Data__27__i_2_n_0 "bRegPortOutLcl[Data][27]_i_2_n_0") (joined
18310          (portref I0 (instanceref bRegPortOutLcl_Data__27__i_1))
18311          (portref O (instanceref bRegPortOutLcl_Data__27__i_2))
18312          )
18313         )
18314         (net (rename bRegPortOutLcl_Data__27__i_3_n_0 "bRegPortOutLcl[Data][27]_i_3_n_0") (joined
18315          (portref I1 (instanceref bRegPortOutLcl_Data__4__i_7))
18316          (portref I2 (instanceref bRegPortOutLcl_Data__12__i_1))
18317          (portref I2 (instanceref bRegPortOutLcl_Data__17__i_1))
18318          (portref I2 (instanceref bRegPortOutLcl_Data__27__i_1))
18319          (portref I4 (instanceref bRegPortOutLcl_Data__2__i_1))
18320          (portref O (instanceref bRegPortOutLcl_Data__27__i_3))
18321          )
18322         )
18323         (net (rename bRegPortOutLcl_Data__27__i_4_n_0 "bRegPortOutLcl[Data][27]_i_4_n_0") (joined
18324          (portref I2 (instanceref bRegPortOutLcl_Data__2__i_4))
18325          (portref I3 (instanceref bRegPortOutLcl_Data__12__i_1))
18326          (portref I3 (instanceref bRegPortOutLcl_Data__17__i_1))
18327          (portref I3 (instanceref bRegPortOutLcl_Data__27__i_1))
18328          (portref O (instanceref bRegPortOutLcl_Data__27__i_4))
18329          )
18330         )
18331         (net (rename bRegPortOutLcl_Data__27__i_5_n_0 "bRegPortOutLcl[Data][27]_i_5_n_0") (joined
18332          (portref I4 (instanceref bRegPortOutLcl_Data__27__i_1))
18333          (portref O (instanceref bRegPortOutLcl_Data__27__i_5))
18334          )
18335         )
18336         (net (rename bRegPortOutLcl_Data__27__i_6_n_0 "bRegPortOutLcl[Data][27]_i_6_n_0") (joined
18337          (portref I5 (instanceref bRegPortOutLcl_Data__27__i_1))
18338          (portref O (instanceref bRegPortOutLcl_Data__27__i_6))
18339          )
18340         )
18341         (net (rename bRegPortOutLcl_Data__27__i_7_n_0 "bRegPortOutLcl[Data][27]_i_7_n_0") (joined
18342          (portref I0 (instanceref bRegPortOutLcl_Data__12__i_3))
18343          (portref I0 (instanceref bRegPortOutLcl_Data__17__i_3))
18344          (portref I0 (instanceref bRegPortOutLcl_Data__18__i_3))
18345          (portref I0 (instanceref bRegPortOutLcl_Data__26__i_4))
18346          (portref I0 (instanceref bRegPortOutLcl_Data__27__i_5))
18347          (portref I0 (instanceref bRegPortOutLcl_Data__8__i_3))
18348          (portref O (instanceref bRegPortOutLcl_Data__27__i_7))
18349          )
18350         )
18351         (net (rename bRegPortOutLcl_Data__28__i_10_n_0 "bRegPortOutLcl[Data][28]_i_10_n_0") (joined
18352          (portref I1 (instanceref bRegPortOutLcl_Data__28__i_8))
18353          (portref O (instanceref bRegPortOutLcl_Data__28__i_10))
18354          )
18355         )
18356         (net (rename bRegPortOutLcl_Data__28__i_1_n_0 "bRegPortOutLcl[Data][28]_i_1_n_0") (joined
18357          (portref D (instanceref bRegPortOutLcl_reg_Data__28_))
18358          (portref O (instanceref bRegPortOutLcl_Data__28__i_1))
18359          )
18360         )
18361         (net (rename bRegPortOutLcl_Data__28__i_2_n_0 "bRegPortOutLcl[Data][28]_i_2_n_0") (joined
18362          (portref I0 (instanceref bRegPortOutLcl_Data__28__i_1))
18363          (portref O (instanceref bRegPortOutLcl_Data__28__i_2))
18364          )
18365         )
18366         (net (rename bRegPortOutLcl_Data__28__i_3_n_0 "bRegPortOutLcl[Data][28]_i_3_n_0") (joined
18367          (portref I1 (instanceref bRegPortOutLcl_Data__28__i_1))
18368          (portref O (instanceref bRegPortOutLcl_Data__28__i_3))
18369          )
18370         )
18371         (net (rename bRegPortOutLcl_Data__28__i_4_n_0 "bRegPortOutLcl[Data][28]_i_4_n_0") (joined
18372          (portref I2 (instanceref bRegPortOutLcl_Data__10__i_1))
18373          (portref I2 (instanceref bRegPortOutLcl_Data__28__i_1))
18374          (portref I4 (instanceref bRegPortOutLcl_Data__9__i_3))
18375          (portref O (instanceref bRegPortOutLcl_Data__28__i_4))
18376          )
18377         )
18378         (net (rename bRegPortOutLcl_Data__28__i_5_n_0 "bRegPortOutLcl[Data][28]_i_5_n_0") (joined
18379          (portref I0 (instanceref bRegPortOutLcl_Data__1__i_2))
18380          (portref I0 (instanceref bRegPortOutLcl_Data__5__i_2))
18381          (portref I0 (instanceref bRegPortOutLcl_Data__9__i_3))
18382          (portref I1 (instanceref bRegPortOutLcl_Data__2__i_4))
18383          (portref I1 (instanceref bRegPortOutLcl_Data__6__i_4))
18384          (portref I2 (instanceref bRegPortOutLcl_Data__11__i_4))
18385          (portref I2 (instanceref bRegPortOutLcl_Data__13__i_2))
18386          (portref I2 (instanceref bRegPortOutLcl_Data__14__i_4))
18387          (portref I2 (instanceref bRegPortOutLcl_Data__15__i_4))
18388          (portref I2 (instanceref bRegPortOutLcl_Data__19__i_2))
18389          (portref I2 (instanceref bRegPortOutLcl_Data__20__i_4))
18390          (portref I2 (instanceref bRegPortOutLcl_Data__21__i_4))
18391          (portref I2 (instanceref bRegPortOutLcl_Data__22__i_4))
18392          (portref I2 (instanceref bRegPortOutLcl_Data__23__i_4))
18393          (portref I2 (instanceref bRegPortOutLcl_Data__24__i_2))
18394          (portref I2 (instanceref bRegPortOutLcl_Data__25__i_2))
18395          (portref I2 (instanceref bRegPortOutLcl_Data__29__i_4))
18396          (portref I2 (instanceref bRegPortOutLcl_Data__30__i_5))
18397          (portref I2 (instanceref bRegPortOutLcl_Data__31__i_4))
18398          (portref I3 (instanceref bRegPortOutLcl_Data__3__i_4))
18399          (portref I3 (instanceref bRegPortOutLcl_Data__4__i_7))
18400          (portref I3 (instanceref bRegPortOutLcl_Data__7__i_4))
18401          (portref I3 (instanceref bRegPortOutLcl_Data__8__i_4))
18402          (portref I4 (instanceref bRegPortOutLcl_Data__10__i_1))
18403          (portref I4 (instanceref bRegPortOutLcl_Data__28__i_1))
18404          (portref I5 (instanceref bRegPortOutLcl_Data__0__i_3))
18405          (portref I5 (instanceref bRegPortOutLcl_Data__12__i_2))
18406          (portref I5 (instanceref bRegPortOutLcl_Data__16__i_3))
18407          (portref I5 (instanceref bRegPortOutLcl_Data__17__i_2))
18408          (portref I5 (instanceref bRegPortOutLcl_Data__18__i_2))
18409          (portref I5 (instanceref bRegPortOutLcl_Data__26__i_2))
18410          (portref I5 (instanceref bRegPortOutLcl_Data__27__i_2))
18411          (portref O (instanceref bRegPortOutLcl_Data__28__i_5))
18412          )
18413         )
18414         (net (rename bRegPortOutLcl_Data__28__i_6_n_0 "bRegPortOutLcl[Data][28]_i_6_n_0") (joined
18415          (portref I5 (instanceref bRegPortOutLcl_Data__28__i_1))
18416          (portref O (instanceref bRegPortOutLcl_Data__28__i_6))
18417          )
18418         )
18419         (net (rename bRegPortOutLcl_Data__28__i_7_n_0 "bRegPortOutLcl[Data][28]_i_7_n_0") (joined
18420          (portref I0 (instanceref bRegPortOutLcl_Data__16__i_3))
18421          (portref I2 (instanceref bRegPortOutLcl_Data__10__i_3))
18422          (portref I2 (instanceref bRegPortOutLcl_Data__16__i_4))
18423          (portref I2 (instanceref bRegPortOutLcl_Data__28__i_3))
18424          (portref I2 (instanceref bRegPortOutLcl_Data__4__i_6))
18425          (portref I2 (instanceref bRegPortOutLcl_Data__9__i_4))
18426          (portref I4 (instanceref bRegPortOutLcl_Data__4__i_5))
18427          (portref O (instanceref bRegPortOutLcl_Data__28__i_7))
18428          )
18429         )
18430         (net (rename bRegPortOutLcl_Data__28__i_8_n_0 "bRegPortOutLcl[Data][28]_i_8_n_0") (joined
18431          (portref I1 (instanceref bRegPortOutLcl_Data__28__i_4))
18432          (portref I3 (instanceref bRegPortOutLcl_Data__27__i_4))
18433          (portref O (instanceref bRegPortOutLcl_Data__28__i_8))
18434          )
18435         )
18436         (net (rename bRegPortOutLcl_Data__28__i_9_n_0 "bRegPortOutLcl[Data][28]_i_9_n_0") (joined
18437          (portref I3 (instanceref bRegPortOutLcl_Data__28__i_5))
18438          (portref I3 (instanceref bRegPortOutLcl_Data__31__i_11))
18439          (portref I4 (instanceref bRegPortOutLcl_Data__27__i_7))
18440          (portref I5 (instanceref bRegPortOutLcl_Data__31__i_7))
18441          (portref I5 (instanceref bRegPortOutLcl_Data__31__i_8))
18442          (portref O (instanceref bRegPortOutLcl_Data__28__i_9))
18443          )
18444         )
18445         (net (rename bRegPortOutLcl_Data__29__i_1_n_0 "bRegPortOutLcl[Data][29]_i_1_n_0") (joined
18446          (portref D (instanceref bRegPortOutLcl_reg_Data__29_))
18447          (portref O (instanceref bRegPortOutLcl_Data__29__i_1))
18448          )
18449         )
18450         (net (rename bRegPortOutLcl_Data__29__i_2_n_0 "bRegPortOutLcl[Data][29]_i_2_n_0") (joined
18451          (portref I0 (instanceref bRegPortOutLcl_Data__29__i_1))
18452          (portref O (instanceref bRegPortOutLcl_Data__29__i_2))
18453          )
18454         )
18455         (net (rename bRegPortOutLcl_Data__29__i_3_n_0 "bRegPortOutLcl[Data][29]_i_3_n_0") (joined
18456          (portref I1 (instanceref bRegPortOutLcl_Data__29__i_1))
18457          (portref O (instanceref bRegPortOutLcl_Data__29__i_3))
18458          )
18459         )
18460         (net (rename bRegPortOutLcl_Data__29__i_4_n_0 "bRegPortOutLcl[Data][29]_i_4_n_0") (joined
18461          (portref I3 (instanceref bRegPortOutLcl_Data__29__i_1))
18462          (portref O (instanceref bRegPortOutLcl_Data__29__i_4))
18463          )
18464         )
18465         (net (rename bRegPortOutLcl_Data__2__i_1_n_0 "bRegPortOutLcl[Data][2]_i_1_n_0") (joined
18466          (portref D (instanceref bRegPortOutLcl_reg_Data__2_))
18467          (portref O (instanceref bRegPortOutLcl_Data__2__i_1))
18468          )
18469         )
18470         (net (rename bRegPortOutLcl_Data__2__i_2_n_0 "bRegPortOutLcl[Data][2]_i_2_n_0") (joined
18471          (portref I0 (instanceref bRegPortOutLcl_Data__2__i_1))
18472          (portref O (instanceref bRegPortOutLcl_Data__2__i_2))
18473          )
18474         )
18475         (net (rename bRegPortOutLcl_Data__2__i_3_n_0 "bRegPortOutLcl[Data][2]_i_3_n_0") (joined
18476          (portref I1 (instanceref bRegPortOutLcl_Data__2__i_1))
18477          (portref O (instanceref bRegPortOutLcl_Data__2__i_3))
18478          )
18479         )
18480         (net (rename bRegPortOutLcl_Data__2__i_4_n_0 "bRegPortOutLcl[Data][2]_i_4_n_0") (joined
18481          (portref I2 (instanceref bRegPortOutLcl_Data__2__i_1))
18482          (portref O (instanceref bRegPortOutLcl_Data__2__i_4))
18483          )
18484         )
18485         (net (rename bRegPortOutLcl_Data__2__i_5_n_0 "bRegPortOutLcl[Data][2]_i_5_n_0") (joined
18486          (portref I5 (instanceref bRegPortOutLcl_Data__2__i_1))
18487          (portref O (instanceref bRegPortOutLcl_Data__2__i_5))
18488          )
18489         )
18490         (net (rename bRegPortOutLcl_Data__30__i_1_n_0 "bRegPortOutLcl[Data][30]_i_1_n_0") (joined
18491          (portref D (instanceref bRegPortOutLcl_reg_Data__30_))
18492          (portref O (instanceref bRegPortOutLcl_Data__30__i_1))
18493          )
18494         )
18495         (net (rename bRegPortOutLcl_Data__30__i_2_n_0 "bRegPortOutLcl[Data][30]_i_2_n_0") (joined
18496          (portref I0 (instanceref bRegPortOutLcl_Data__30__i_1))
18497          (portref O (instanceref bRegPortOutLcl_Data__30__i_2))
18498          )
18499         )
18500         (net (rename bRegPortOutLcl_Data__30__i_3_n_0 "bRegPortOutLcl[Data][30]_i_3_n_0") (joined
18501          (portref I1 (instanceref bRegPortOutLcl_Data__30__i_1))
18502          (portref O (instanceref bRegPortOutLcl_Data__30__i_3))
18503          )
18504         )
18505         (net (rename bRegPortOutLcl_Data__30__i_4_n_0 "bRegPortOutLcl[Data][30]_i_4_n_0") (joined
18506          (portref I0 (instanceref bRegPortOutLcl_Data__0__i_5))
18507          (portref I2 (instanceref bRegPortOutLcl_Data__11__i_1))
18508          (portref I2 (instanceref bRegPortOutLcl_Data__14__i_1))
18509          (portref I2 (instanceref bRegPortOutLcl_Data__1__i_1))
18510          (portref I2 (instanceref bRegPortOutLcl_Data__20__i_1))
18511          (portref I2 (instanceref bRegPortOutLcl_Data__21__i_1))
18512          (portref I2 (instanceref bRegPortOutLcl_Data__22__i_1))
18513          (portref I2 (instanceref bRegPortOutLcl_Data__29__i_1))
18514          (portref I2 (instanceref bRegPortOutLcl_Data__30__i_1))
18515          (portref I2 (instanceref bRegPortOutLcl_Data__5__i_1))
18516          (portref I2 (instanceref bRegPortOutLcl_Data__6__i_4))
18517          (portref O (instanceref bRegPortOutLcl_Data__30__i_4))
18518          )
18519         )
18520         (net (rename bRegPortOutLcl_Data__30__i_5_n_0 "bRegPortOutLcl[Data][30]_i_5_n_0") (joined
18521          (portref I3 (instanceref bRegPortOutLcl_Data__30__i_1))
18522          (portref O (instanceref bRegPortOutLcl_Data__30__i_5))
18523          )
18524         )
18525         (net (rename bRegPortOutLcl_Data__30__i_6_n_0 "bRegPortOutLcl[Data][30]_i_6_n_0") (joined
18526          (portref I2 (instanceref bRegPortOutLcl_Data__26__i_3))
18527          (portref I2 (instanceref bRegPortOutLcl_Data__27__i_3))
18528          (portref I2 (instanceref bRegPortOutLcl_Data__30__i_4))
18529          (portref I2 (instanceref bRegPortOutLcl_Data__31__i_5))
18530          (portref I3 (instanceref bRegPortOutLcl_Data__31__i_10))
18531          (portref I3 (instanceref bRegPortOutLcl_Data__31__i_9))
18532          (portref O (instanceref bRegPortOutLcl_Data__30__i_6))
18533          )
18534         )
18535         (net (rename bRegPortOutLcl_Data__31__i_10_n_0 "bRegPortOutLcl[Data][31]_i_10_n_0") (joined
18536          (portref I0 (instanceref bRegPortOutLcl_Data__0__i_3))
18537          (portref I0 (instanceref bRegPortOutLcl_Data__12__i_2))
18538          (portref I0 (instanceref bRegPortOutLcl_Data__17__i_2))
18539          (portref I0 (instanceref bRegPortOutLcl_Data__18__i_2))
18540          (portref I0 (instanceref bRegPortOutLcl_Data__26__i_2))
18541          (portref I0 (instanceref bRegPortOutLcl_Data__27__i_2))
18542          (portref I2 (instanceref bRegPortOutLcl_Data__10__i_4))
18543          (portref I2 (instanceref bRegPortOutLcl_Data__16__i_2))
18544          (portref I2 (instanceref bRegPortOutLcl_Data__1__i_5))
18545          (portref I2 (instanceref bRegPortOutLcl_Data__28__i_6))
18546          (portref I2 (instanceref bRegPortOutLcl_Data__2__i_3))
18547          (portref I2 (instanceref bRegPortOutLcl_Data__3__i_5))
18548          (portref I2 (instanceref bRegPortOutLcl_Data__4__i_3))
18549          (portref I2 (instanceref bRegPortOutLcl_Data__5__i_5))
18550          (portref I2 (instanceref bRegPortOutLcl_Data__6__i_3))
18551          (portref I2 (instanceref bRegPortOutLcl_Data__7__i_5))
18552          (portref I2 (instanceref bRegPortOutLcl_Data__8__i_5))
18553          (portref I2 (instanceref bRegPortOutLcl_Data__9__i_2))
18554          (portref I5 (instanceref bRegPortOutLcl_Data__11__i_3))
18555          (portref I5 (instanceref bRegPortOutLcl_Data__13__i_4))
18556          (portref I5 (instanceref bRegPortOutLcl_Data__14__i_3))
18557          (portref I5 (instanceref bRegPortOutLcl_Data__15__i_3))
18558          (portref I5 (instanceref bRegPortOutLcl_Data__19__i_4))
18559          (portref I5 (instanceref bRegPortOutLcl_Data__20__i_3))
18560          (portref I5 (instanceref bRegPortOutLcl_Data__21__i_3))
18561          (portref I5 (instanceref bRegPortOutLcl_Data__22__i_3))
18562          (portref I5 (instanceref bRegPortOutLcl_Data__23__i_3))
18563          (portref I5 (instanceref bRegPortOutLcl_Data__24__i_4))
18564          (portref I5 (instanceref bRegPortOutLcl_Data__25__i_5))
18565          (portref I5 (instanceref bRegPortOutLcl_Data__29__i_3))
18566          (portref I5 (instanceref bRegPortOutLcl_Data__30__i_3))
18567          (portref I5 (instanceref bRegPortOutLcl_Data__31__i_3))
18568          (portref O (instanceref bRegPortOutLcl_Data__31__i_10))
18569          )
18570         )
18571         (net (rename bRegPortOutLcl_Data__31__i_11_n_0 "bRegPortOutLcl[Data][31]_i_11_n_0") (joined
18572          (portref I0 (instanceref bRegPortOutLcl_Data__11__i_4))
18573          (portref I0 (instanceref bRegPortOutLcl_Data__13__i_2))
18574          (portref I0 (instanceref bRegPortOutLcl_Data__14__i_4))
18575          (portref I0 (instanceref bRegPortOutLcl_Data__15__i_4))
18576          (portref I0 (instanceref bRegPortOutLcl_Data__19__i_2))
18577          (portref I0 (instanceref bRegPortOutLcl_Data__20__i_4))
18578          (portref I0 (instanceref bRegPortOutLcl_Data__21__i_4))
18579          (portref I0 (instanceref bRegPortOutLcl_Data__22__i_4))
18580          (portref I0 (instanceref bRegPortOutLcl_Data__23__i_4))
18581          (portref I0 (instanceref bRegPortOutLcl_Data__24__i_2))
18582          (portref I0 (instanceref bRegPortOutLcl_Data__25__i_2))
18583          (portref I0 (instanceref bRegPortOutLcl_Data__29__i_4))
18584          (portref I0 (instanceref bRegPortOutLcl_Data__30__i_5))
18585          (portref I0 (instanceref bRegPortOutLcl_Data__31__i_4))
18586          (portref I2 (instanceref bRegPortOutLcl_Data__0__i_3))
18587          (portref I2 (instanceref bRegPortOutLcl_Data__12__i_2))
18588          (portref I2 (instanceref bRegPortOutLcl_Data__17__i_2))
18589          (portref I2 (instanceref bRegPortOutLcl_Data__18__i_2))
18590          (portref I2 (instanceref bRegPortOutLcl_Data__26__i_2))
18591          (portref I2 (instanceref bRegPortOutLcl_Data__27__i_2))
18592          (portref I5 (instanceref bRegPortOutLcl_Data__10__i_4))
18593          (portref I5 (instanceref bRegPortOutLcl_Data__16__i_2))
18594          (portref I5 (instanceref bRegPortOutLcl_Data__1__i_5))
18595          (portref I5 (instanceref bRegPortOutLcl_Data__28__i_6))
18596          (portref I5 (instanceref bRegPortOutLcl_Data__2__i_3))
18597          (portref I5 (instanceref bRegPortOutLcl_Data__3__i_5))
18598          (portref I5 (instanceref bRegPortOutLcl_Data__4__i_3))
18599          (portref I5 (instanceref bRegPortOutLcl_Data__5__i_5))
18600          (portref I5 (instanceref bRegPortOutLcl_Data__6__i_3))
18601          (portref I5 (instanceref bRegPortOutLcl_Data__7__i_5))
18602          (portref I5 (instanceref bRegPortOutLcl_Data__8__i_5))
18603          (portref I5 (instanceref bRegPortOutLcl_Data__9__i_2))
18604          (portref O (instanceref bRegPortOutLcl_Data__31__i_11))
18605          )
18606         )
18607         (net (rename bRegPortOutLcl_Data__31__i_12_n_0 "bRegPortOutLcl[Data][31]_i_12_n_0") (joined
18608          (portref I0 (instanceref bRegPortOutLcl_Data__31__i_10))
18609          (portref I0 (instanceref bRegPortOutLcl_Data__31__i_8))
18610          (portref O (instanceref bRegPortOutLcl_Data__31__i_12))
18611          )
18612         )
18613         (net (rename bRegPortOutLcl_Data__31__i_1_n_0 "bRegPortOutLcl[Data][31]_i_1_n_0") (joined
18614          (portref D (instanceref bRegPortOutLcl_reg_Data__31_))
18615          (portref O (instanceref bRegPortOutLcl_Data__31__i_1))
18616          )
18617         )
18618         (net (rename bRegPortOutLcl_Data__31__i_2_n_0 "bRegPortOutLcl[Data][31]_i_2_n_0") (joined
18619          (portref I0 (instanceref bRegPortOutLcl_Data__31__i_1))
18620          (portref O (instanceref bRegPortOutLcl_Data__31__i_2))
18621          )
18622         )
18623         (net (rename bRegPortOutLcl_Data__31__i_3_n_0 "bRegPortOutLcl[Data][31]_i_3_n_0") (joined
18624          (portref I1 (instanceref bRegPortOutLcl_Data__31__i_1))
18625          (portref O (instanceref bRegPortOutLcl_Data__31__i_3))
18626          )
18627         )
18628         (net (rename bRegPortOutLcl_Data__31__i_4_n_0 "bRegPortOutLcl[Data][31]_i_4_n_0") (joined
18629          (portref I2 (instanceref bRegPortOutLcl_Data__31__i_1))
18630          (portref O (instanceref bRegPortOutLcl_Data__31__i_4))
18631          )
18632         )
18633         (net (rename bRegPortOutLcl_Data__31__i_5_n_0 "bRegPortOutLcl[Data][31]_i_5_n_0") (joined
18634          (portref I0 (instanceref bRegPortOutLcl_Data__11__i_2))
18635          (portref I0 (instanceref bRegPortOutLcl_Data__13__i_3))
18636          (portref I0 (instanceref bRegPortOutLcl_Data__14__i_2))
18637          (portref I0 (instanceref bRegPortOutLcl_Data__15__i_2))
18638          (portref I0 (instanceref bRegPortOutLcl_Data__19__i_3))
18639          (portref I0 (instanceref bRegPortOutLcl_Data__1__i_4))
18640          (portref I0 (instanceref bRegPortOutLcl_Data__20__i_2))
18641          (portref I0 (instanceref bRegPortOutLcl_Data__21__i_2))
18642          (portref I0 (instanceref bRegPortOutLcl_Data__22__i_2))
18643          (portref I0 (instanceref bRegPortOutLcl_Data__23__i_2))
18644          (portref I0 (instanceref bRegPortOutLcl_Data__24__i_3))
18645          (portref I0 (instanceref bRegPortOutLcl_Data__25__i_4))
18646          (portref I0 (instanceref bRegPortOutLcl_Data__29__i_2))
18647          (portref I0 (instanceref bRegPortOutLcl_Data__2__i_5))
18648          (portref I0 (instanceref bRegPortOutLcl_Data__30__i_2))
18649          (portref I0 (instanceref bRegPortOutLcl_Data__31__i_2))
18650          (portref I0 (instanceref bRegPortOutLcl_Data__3__i_3))
18651          (portref I0 (instanceref bRegPortOutLcl_Data__4__i_4))
18652          (portref I0 (instanceref bRegPortOutLcl_Data__5__i_4))
18653          (portref I0 (instanceref bRegPortOutLcl_Data__6__i_5))
18654          (portref I0 (instanceref bRegPortOutLcl_Data__7__i_3))
18655          (portref I1 (instanceref bRegPortOutLcl_Data__10__i_3))
18656          (portref I1 (instanceref bRegPortOutLcl_Data__28__i_3))
18657          (portref I1 (instanceref bRegPortOutLcl_Data__9__i_4))
18658          (portref I5 (instanceref bRegPortOutLcl_Data__12__i_3))
18659          (portref I5 (instanceref bRegPortOutLcl_Data__17__i_3))
18660          (portref I5 (instanceref bRegPortOutLcl_Data__18__i_3))
18661          (portref I5 (instanceref bRegPortOutLcl_Data__26__i_4))
18662          (portref I5 (instanceref bRegPortOutLcl_Data__27__i_5))
18663          (portref I5 (instanceref bRegPortOutLcl_Data__8__i_3))
18664          (portref O (instanceref bRegPortOutLcl_Data__31__i_5))
18665          )
18666         )
18667         (net (rename bRegPortOutLcl_Data__31__i_6_n_0 "bRegPortOutLcl[Data][31]_i_6_n_0") (joined
18668          (portref I0 (instanceref bRegPortOutLcl_Data__10__i_2))
18669          (portref I0 (instanceref bRegPortOutLcl_Data__16__i_5))
18670          (portref I0 (instanceref bRegPortOutLcl_Data__28__i_2))
18671          (portref I0 (instanceref bRegPortOutLcl_Data__9__i_5))
18672          (portref I2 (instanceref bRegPortOutLcl_Data__0__i_4))
18673          (portref I2 (instanceref bRegPortOutLcl_Data__11__i_2))
18674          (portref I2 (instanceref bRegPortOutLcl_Data__12__i_3))
18675          (portref I2 (instanceref bRegPortOutLcl_Data__13__i_3))
18676          (portref I2 (instanceref bRegPortOutLcl_Data__14__i_2))
18677          (portref I2 (instanceref bRegPortOutLcl_Data__15__i_2))
18678          (portref I2 (instanceref bRegPortOutLcl_Data__17__i_3))
18679          (portref I2 (instanceref bRegPortOutLcl_Data__18__i_3))
18680          (portref I2 (instanceref bRegPortOutLcl_Data__19__i_3))
18681          (portref I2 (instanceref bRegPortOutLcl_Data__20__i_2))
18682          (portref I2 (instanceref bRegPortOutLcl_Data__21__i_2))
18683          (portref I2 (instanceref bRegPortOutLcl_Data__22__i_2))
18684          (portref I2 (instanceref bRegPortOutLcl_Data__23__i_2))
18685          (portref I2 (instanceref bRegPortOutLcl_Data__24__i_3))
18686          (portref I2 (instanceref bRegPortOutLcl_Data__25__i_4))
18687          (portref I2 (instanceref bRegPortOutLcl_Data__26__i_4))
18688          (portref I2 (instanceref bRegPortOutLcl_Data__27__i_5))
18689          (portref I2 (instanceref bRegPortOutLcl_Data__29__i_2))
18690          (portref I2 (instanceref bRegPortOutLcl_Data__30__i_2))
18691          (portref I2 (instanceref bRegPortOutLcl_Data__31__i_2))
18692          (portref I2 (instanceref bRegPortOutLcl_Data__8__i_3))
18693          (portref I5 (instanceref bRegPortOutLcl_Data__1__i_4))
18694          (portref I5 (instanceref bRegPortOutLcl_Data__2__i_5))
18695          (portref I5 (instanceref bRegPortOutLcl_Data__3__i_3))
18696          (portref I5 (instanceref bRegPortOutLcl_Data__4__i_4))
18697          (portref I5 (instanceref bRegPortOutLcl_Data__5__i_4))
18698          (portref I5 (instanceref bRegPortOutLcl_Data__6__i_5))
18699          (portref I5 (instanceref bRegPortOutLcl_Data__7__i_3))
18700          (portref O (instanceref bRegPortOutLcl_Data__31__i_6))
18701          )
18702         )
18703         (net (rename bRegPortOutLcl_Data__31__i_7_n_0 "bRegPortOutLcl[Data][31]_i_7_n_0") (joined
18704          (portref I0 (instanceref bRegPortOutLcl_Data__0__i_2))
18705          (portref I0 (instanceref bRegPortOutLcl_Data__12__i_4))
18706          (portref I0 (instanceref bRegPortOutLcl_Data__17__i_4))
18707          (portref I0 (instanceref bRegPortOutLcl_Data__18__i_4))
18708          (portref I0 (instanceref bRegPortOutLcl_Data__26__i_5))
18709          (portref I0 (instanceref bRegPortOutLcl_Data__27__i_6))
18710          (portref I2 (instanceref bRegPortOutLcl_Data__10__i_2))
18711          (portref I2 (instanceref bRegPortOutLcl_Data__16__i_5))
18712          (portref I2 (instanceref bRegPortOutLcl_Data__1__i_3))
18713          (portref I2 (instanceref bRegPortOutLcl_Data__28__i_2))
18714          (portref I2 (instanceref bRegPortOutLcl_Data__2__i_2))
18715          (portref I2 (instanceref bRegPortOutLcl_Data__3__i_2))
18716          (portref I2 (instanceref bRegPortOutLcl_Data__4__i_2))
18717          (portref I2 (instanceref bRegPortOutLcl_Data__5__i_3))
18718          (portref I2 (instanceref bRegPortOutLcl_Data__6__i_2))
18719          (portref I2 (instanceref bRegPortOutLcl_Data__7__i_2))
18720          (portref I2 (instanceref bRegPortOutLcl_Data__8__i_2))
18721          (portref I2 (instanceref bRegPortOutLcl_Data__9__i_5))
18722          (portref I5 (instanceref bRegPortOutLcl_Data__11__i_2))
18723          (portref I5 (instanceref bRegPortOutLcl_Data__13__i_3))
18724          (portref I5 (instanceref bRegPortOutLcl_Data__14__i_2))
18725          (portref I5 (instanceref bRegPortOutLcl_Data__15__i_2))
18726          (portref I5 (instanceref bRegPortOutLcl_Data__19__i_3))
18727          (portref I5 (instanceref bRegPortOutLcl_Data__20__i_2))
18728          (portref I5 (instanceref bRegPortOutLcl_Data__21__i_2))
18729          (portref I5 (instanceref bRegPortOutLcl_Data__22__i_2))
18730          (portref I5 (instanceref bRegPortOutLcl_Data__23__i_2))
18731          (portref I5 (instanceref bRegPortOutLcl_Data__24__i_3))
18732          (portref I5 (instanceref bRegPortOutLcl_Data__25__i_4))
18733          (portref I5 (instanceref bRegPortOutLcl_Data__29__i_2))
18734          (portref I5 (instanceref bRegPortOutLcl_Data__30__i_2))
18735          (portref I5 (instanceref bRegPortOutLcl_Data__31__i_2))
18736          (portref O (instanceref bRegPortOutLcl_Data__31__i_7))
18737          )
18738         )
18739         (net (rename bRegPortOutLcl_Data__31__i_8_n_0 "bRegPortOutLcl[Data][31]_i_8_n_0") (joined
18740          (portref I0 (instanceref bRegPortOutLcl_Data__11__i_3))
18741          (portref I0 (instanceref bRegPortOutLcl_Data__13__i_4))
18742          (portref I0 (instanceref bRegPortOutLcl_Data__14__i_3))
18743          (portref I0 (instanceref bRegPortOutLcl_Data__15__i_3))
18744          (portref I0 (instanceref bRegPortOutLcl_Data__19__i_4))
18745          (portref I0 (instanceref bRegPortOutLcl_Data__20__i_3))
18746          (portref I0 (instanceref bRegPortOutLcl_Data__21__i_3))
18747          (portref I0 (instanceref bRegPortOutLcl_Data__22__i_3))
18748          (portref I0 (instanceref bRegPortOutLcl_Data__23__i_3))
18749          (portref I0 (instanceref bRegPortOutLcl_Data__24__i_4))
18750          (portref I0 (instanceref bRegPortOutLcl_Data__25__i_5))
18751          (portref I0 (instanceref bRegPortOutLcl_Data__29__i_3))
18752          (portref I0 (instanceref bRegPortOutLcl_Data__30__i_3))
18753          (portref I0 (instanceref bRegPortOutLcl_Data__31__i_3))
18754          (portref I2 (instanceref bRegPortOutLcl_Data__0__i_2))
18755          (portref I2 (instanceref bRegPortOutLcl_Data__12__i_4))
18756          (portref I2 (instanceref bRegPortOutLcl_Data__17__i_4))
18757          (portref I2 (instanceref bRegPortOutLcl_Data__18__i_4))
18758          (portref I2 (instanceref bRegPortOutLcl_Data__26__i_5))
18759          (portref I2 (instanceref bRegPortOutLcl_Data__27__i_6))
18760          (portref I5 (instanceref bRegPortOutLcl_Data__10__i_2))
18761          (portref I5 (instanceref bRegPortOutLcl_Data__16__i_5))
18762          (portref I5 (instanceref bRegPortOutLcl_Data__1__i_3))
18763          (portref I5 (instanceref bRegPortOutLcl_Data__28__i_2))
18764          (portref I5 (instanceref bRegPortOutLcl_Data__2__i_2))
18765          (portref I5 (instanceref bRegPortOutLcl_Data__3__i_2))
18766          (portref I5 (instanceref bRegPortOutLcl_Data__4__i_2))
18767          (portref I5 (instanceref bRegPortOutLcl_Data__5__i_3))
18768          (portref I5 (instanceref bRegPortOutLcl_Data__6__i_2))
18769          (portref I5 (instanceref bRegPortOutLcl_Data__7__i_2))
18770          (portref I5 (instanceref bRegPortOutLcl_Data__8__i_2))
18771          (portref I5 (instanceref bRegPortOutLcl_Data__9__i_5))
18772          (portref O (instanceref bRegPortOutLcl_Data__31__i_8))
18773          )
18774         )
18775         (net (rename bRegPortOutLcl_Data__31__i_9_n_0 "bRegPortOutLcl[Data][31]_i_9_n_0") (joined
18776          (portref I0 (instanceref bRegPortOutLcl_Data__10__i_4))
18777          (portref I0 (instanceref bRegPortOutLcl_Data__16__i_2))
18778          (portref I0 (instanceref bRegPortOutLcl_Data__1__i_5))
18779          (portref I0 (instanceref bRegPortOutLcl_Data__28__i_6))
18780          (portref I0 (instanceref bRegPortOutLcl_Data__2__i_3))
18781          (portref I0 (instanceref bRegPortOutLcl_Data__3__i_5))
18782          (portref I0 (instanceref bRegPortOutLcl_Data__4__i_3))
18783          (portref I0 (instanceref bRegPortOutLcl_Data__5__i_5))
18784          (portref I0 (instanceref bRegPortOutLcl_Data__6__i_3))
18785          (portref I0 (instanceref bRegPortOutLcl_Data__7__i_5))
18786          (portref I0 (instanceref bRegPortOutLcl_Data__8__i_5))
18787          (portref I0 (instanceref bRegPortOutLcl_Data__9__i_2))
18788          (portref I2 (instanceref bRegPortOutLcl_Data__11__i_3))
18789          (portref I2 (instanceref bRegPortOutLcl_Data__13__i_4))
18790          (portref I2 (instanceref bRegPortOutLcl_Data__14__i_3))
18791          (portref I2 (instanceref bRegPortOutLcl_Data__15__i_3))
18792          (portref I2 (instanceref bRegPortOutLcl_Data__19__i_4))
18793          (portref I2 (instanceref bRegPortOutLcl_Data__20__i_3))
18794          (portref I2 (instanceref bRegPortOutLcl_Data__21__i_3))
18795          (portref I2 (instanceref bRegPortOutLcl_Data__22__i_3))
18796          (portref I2 (instanceref bRegPortOutLcl_Data__23__i_3))
18797          (portref I2 (instanceref bRegPortOutLcl_Data__24__i_4))
18798          (portref I2 (instanceref bRegPortOutLcl_Data__25__i_5))
18799          (portref I2 (instanceref bRegPortOutLcl_Data__29__i_3))
18800          (portref I2 (instanceref bRegPortOutLcl_Data__30__i_3))
18801          (portref I2 (instanceref bRegPortOutLcl_Data__31__i_3))
18802          (portref I5 (instanceref bRegPortOutLcl_Data__0__i_2))
18803          (portref I5 (instanceref bRegPortOutLcl_Data__12__i_4))
18804          (portref I5 (instanceref bRegPortOutLcl_Data__17__i_4))
18805          (portref I5 (instanceref bRegPortOutLcl_Data__18__i_4))
18806          (portref I5 (instanceref bRegPortOutLcl_Data__26__i_5))
18807          (portref I5 (instanceref bRegPortOutLcl_Data__27__i_6))
18808          (portref O (instanceref bRegPortOutLcl_Data__31__i_9))
18809          )
18810         )
18811         (net (rename bRegPortOutLcl_Data__3__i_1_n_0 "bRegPortOutLcl[Data][3]_i_1_n_0") (joined
18812          (portref D (instanceref bRegPortOutLcl_reg_Data__3_))
18813          (portref O (instanceref bRegPortOutLcl_Data__3__i_1))
18814          )
18815         )
18816         (net (rename bRegPortOutLcl_Data__3__i_2_n_0 "bRegPortOutLcl[Data][3]_i_2_n_0") (joined
18817          (portref I0 (instanceref bRegPortOutLcl_Data__3__i_1))
18818          (portref O (instanceref bRegPortOutLcl_Data__3__i_2))
18819          )
18820         )
18821         (net (rename bRegPortOutLcl_Data__3__i_3_n_0 "bRegPortOutLcl[Data][3]_i_3_n_0") (joined
18822          (portref I1 (instanceref bRegPortOutLcl_Data__3__i_1))
18823          (portref O (instanceref bRegPortOutLcl_Data__3__i_3))
18824          )
18825         )
18826         (net (rename bRegPortOutLcl_Data__3__i_4_n_0 "bRegPortOutLcl[Data][3]_i_4_n_0") (joined
18827          (portref I2 (instanceref bRegPortOutLcl_Data__3__i_1))
18828          (portref O (instanceref bRegPortOutLcl_Data__3__i_4))
18829          )
18830         )
18831         (net (rename bRegPortOutLcl_Data__3__i_5_n_0 "bRegPortOutLcl[Data][3]_i_5_n_0") (joined
18832          (portref I3 (instanceref bRegPortOutLcl_Data__3__i_1))
18833          (portref O (instanceref bRegPortOutLcl_Data__3__i_5))
18834          )
18835         )
18836         (net (rename bRegPortOutLcl_Data__4__i_1_n_0 "bRegPortOutLcl[Data][4]_i_1_n_0") (joined
18837          (portref D (instanceref bRegPortOutLcl_reg_Data__4_))
18838          (portref O (instanceref bRegPortOutLcl_Data__4__i_1))
18839          )
18840         )
18841         (net (rename bRegPortOutLcl_Data__4__i_2_n_0 "bRegPortOutLcl[Data][4]_i_2_n_0") (joined
18842          (portref I0 (instanceref bRegPortOutLcl_Data__4__i_1))
18843          (portref O (instanceref bRegPortOutLcl_Data__4__i_2))
18844          )
18845         )
18846         (net (rename bRegPortOutLcl_Data__4__i_3_n_0 "bRegPortOutLcl[Data][4]_i_3_n_0") (joined
18847          (portref I1 (instanceref bRegPortOutLcl_Data__4__i_1))
18848          (portref O (instanceref bRegPortOutLcl_Data__4__i_3))
18849          )
18850         )
18851         (net (rename bRegPortOutLcl_Data__4__i_4_n_0 "bRegPortOutLcl[Data][4]_i_4_n_0") (joined
18852          (portref I2 (instanceref bRegPortOutLcl_Data__4__i_1))
18853          (portref O (instanceref bRegPortOutLcl_Data__4__i_4))
18854          )
18855         )
18856         (net (rename bRegPortOutLcl_Data__4__i_5_n_0 "bRegPortOutLcl[Data][4]_i_5_n_0") (joined
18857          (portref I3 (instanceref bRegPortOutLcl_Data__4__i_1))
18858          (portref O (instanceref bRegPortOutLcl_Data__4__i_5))
18859          )
18860         )
18861         (net (rename bRegPortOutLcl_Data__4__i_6_n_0 "bRegPortOutLcl[Data][4]_i_6_n_0") (joined
18862          (portref I4 (instanceref bRegPortOutLcl_Data__4__i_1))
18863          (portref O (instanceref bRegPortOutLcl_Data__4__i_6))
18864          )
18865         )
18866         (net (rename bRegPortOutLcl_Data__4__i_7_n_0 "bRegPortOutLcl[Data][4]_i_7_n_0") (joined
18867          (portref I5 (instanceref bRegPortOutLcl_Data__4__i_1))
18868          (portref O (instanceref bRegPortOutLcl_Data__4__i_7))
18869          )
18870         )
18871         (net (rename bRegPortOutLcl_Data__5__i_1_n_0 "bRegPortOutLcl[Data][5]_i_1_n_0") (joined
18872          (portref D (instanceref bRegPortOutLcl_reg_Data__5_))
18873          (portref O (instanceref bRegPortOutLcl_Data__5__i_1))
18874          )
18875         )
18876         (net (rename bRegPortOutLcl_Data__5__i_2_n_0 "bRegPortOutLcl[Data][5]_i_2_n_0") (joined
18877          (portref I0 (instanceref bRegPortOutLcl_Data__5__i_1))
18878          (portref O (instanceref bRegPortOutLcl_Data__5__i_2))
18879          )
18880         )
18881         (net (rename bRegPortOutLcl_Data__5__i_3_n_0 "bRegPortOutLcl[Data][5]_i_3_n_0") (joined
18882          (portref I1 (instanceref bRegPortOutLcl_Data__5__i_1))
18883          (portref O (instanceref bRegPortOutLcl_Data__5__i_3))
18884          )
18885         )
18886         (net (rename bRegPortOutLcl_Data__5__i_4_n_0 "bRegPortOutLcl[Data][5]_i_4_n_0") (joined
18887          (portref I3 (instanceref bRegPortOutLcl_Data__5__i_1))
18888          (portref O (instanceref bRegPortOutLcl_Data__5__i_4))
18889          )
18890         )
18891         (net (rename bRegPortOutLcl_Data__5__i_5_n_0 "bRegPortOutLcl[Data][5]_i_5_n_0") (joined
18892          (portref I4 (instanceref bRegPortOutLcl_Data__5__i_1))
18893          (portref O (instanceref bRegPortOutLcl_Data__5__i_5))
18894          )
18895         )
18896         (net (rename bRegPortOutLcl_Data__6__i_1_n_0 "bRegPortOutLcl[Data][6]_i_1_n_0") (joined
18897          (portref D (instanceref bRegPortOutLcl_reg_Data__6_))
18898          (portref O (instanceref bRegPortOutLcl_Data__6__i_1))
18899          )
18900         )
18901         (net (rename bRegPortOutLcl_Data__6__i_2_n_0 "bRegPortOutLcl[Data][6]_i_2_n_0") (joined
18902          (portref I0 (instanceref bRegPortOutLcl_Data__6__i_1))
18903          (portref O (instanceref bRegPortOutLcl_Data__6__i_2))
18904          )
18905         )
18906         (net (rename bRegPortOutLcl_Data__6__i_3_n_0 "bRegPortOutLcl[Data][6]_i_3_n_0") (joined
18907          (portref I1 (instanceref bRegPortOutLcl_Data__6__i_1))
18908          (portref O (instanceref bRegPortOutLcl_Data__6__i_3))
18909          )
18910         )
18911         (net (rename bRegPortOutLcl_Data__6__i_4_n_0 "bRegPortOutLcl[Data][6]_i_4_n_0") (joined
18912          (portref I2 (instanceref bRegPortOutLcl_Data__6__i_1))
18913          (portref O (instanceref bRegPortOutLcl_Data__6__i_4))
18914          )
18915         )
18916         (net (rename bRegPortOutLcl_Data__6__i_5_n_0 "bRegPortOutLcl[Data][6]_i_5_n_0") (joined
18917          (portref I5 (instanceref bRegPortOutLcl_Data__6__i_1))
18918          (portref O (instanceref bRegPortOutLcl_Data__6__i_5))
18919          )
18920         )
18921         (net (rename bRegPortOutLcl_Data__7__i_1_n_0 "bRegPortOutLcl[Data][7]_i_1_n_0") (joined
18922          (portref D (instanceref bRegPortOutLcl_reg_Data__7_))
18923          (portref O (instanceref bRegPortOutLcl_Data__7__i_1))
18924          )
18925         )
18926         (net (rename bRegPortOutLcl_Data__7__i_2_n_0 "bRegPortOutLcl[Data][7]_i_2_n_0") (joined
18927          (portref I0 (instanceref bRegPortOutLcl_Data__7__i_1))
18928          (portref O (instanceref bRegPortOutLcl_Data__7__i_2))
18929          )
18930         )
18931         (net (rename bRegPortOutLcl_Data__7__i_3_n_0 "bRegPortOutLcl[Data][7]_i_3_n_0") (joined
18932          (portref I1 (instanceref bRegPortOutLcl_Data__7__i_1))
18933          (portref O (instanceref bRegPortOutLcl_Data__7__i_3))
18934          )
18935         )
18936         (net (rename bRegPortOutLcl_Data__7__i_4_n_0 "bRegPortOutLcl[Data][7]_i_4_n_0") (joined
18937          (portref I2 (instanceref bRegPortOutLcl_Data__7__i_1))
18938          (portref O (instanceref bRegPortOutLcl_Data__7__i_4))
18939          )
18940         )
18941         (net (rename bRegPortOutLcl_Data__7__i_5_n_0 "bRegPortOutLcl[Data][7]_i_5_n_0") (joined
18942          (portref I3 (instanceref bRegPortOutLcl_Data__7__i_1))
18943          (portref O (instanceref bRegPortOutLcl_Data__7__i_5))
18944          )
18945         )
18946         (net (rename bRegPortOutLcl_Data__7__i_6_n_0 "bRegPortOutLcl[Data][7]_i_6_n_0") (joined
18947          (portref I0 (instanceref bRegPortOutLcl_Data__0__i_4))
18948          (portref I2 (instanceref bRegPortOutLcl_Data__1__i_4))
18949          (portref I2 (instanceref bRegPortOutLcl_Data__2__i_5))
18950          (portref I2 (instanceref bRegPortOutLcl_Data__3__i_3))
18951          (portref I2 (instanceref bRegPortOutLcl_Data__4__i_4))
18952          (portref I2 (instanceref bRegPortOutLcl_Data__5__i_4))
18953          (portref I2 (instanceref bRegPortOutLcl_Data__6__i_5))
18954          (portref I2 (instanceref bRegPortOutLcl_Data__7__i_3))
18955          (portref O (instanceref bRegPortOutLcl_Data__7__i_6))
18956          )
18957         )
18958         (net (rename bRegPortOutLcl_Data__8__i_1_n_0 "bRegPortOutLcl[Data][8]_i_1_n_0") (joined
18959          (portref D (instanceref bRegPortOutLcl_reg_Data__8_))
18960          (portref O (instanceref bRegPortOutLcl_Data__8__i_1))
18961          )
18962         )
18963         (net (rename bRegPortOutLcl_Data__8__i_2_n_0 "bRegPortOutLcl[Data][8]_i_2_n_0") (joined
18964          (portref I0 (instanceref bRegPortOutLcl_Data__8__i_1))
18965          (portref O (instanceref bRegPortOutLcl_Data__8__i_2))
18966          )
18967         )
18968         (net (rename bRegPortOutLcl_Data__8__i_3_n_0 "bRegPortOutLcl[Data][8]_i_3_n_0") (joined
18969          (portref I1 (instanceref bRegPortOutLcl_Data__8__i_1))
18970          (portref O (instanceref bRegPortOutLcl_Data__8__i_3))
18971          )
18972         )
18973         (net (rename bRegPortOutLcl_Data__8__i_4_n_0 "bRegPortOutLcl[Data][8]_i_4_n_0") (joined
18974          (portref I2 (instanceref bRegPortOutLcl_Data__8__i_1))
18975          (portref O (instanceref bRegPortOutLcl_Data__8__i_4))
18976          )
18977         )
18978         (net (rename bRegPortOutLcl_Data__8__i_5_n_0 "bRegPortOutLcl[Data][8]_i_5_n_0") (joined
18979          (portref I3 (instanceref bRegPortOutLcl_Data__8__i_1))
18980          (portref O (instanceref bRegPortOutLcl_Data__8__i_5))
18981          )
18982         )
18983         (net (rename bRegPortOutLcl_Data__8__i_6_n_0 "bRegPortOutLcl[Data][8]_i_6_n_0") (joined
18984          (portref I0 (instanceref bRegPortOutLcl_Data__1__i_3))
18985          (portref I0 (instanceref bRegPortOutLcl_Data__2__i_2))
18986          (portref I0 (instanceref bRegPortOutLcl_Data__3__i_2))
18987          (portref I0 (instanceref bRegPortOutLcl_Data__4__i_2))
18988          (portref I0 (instanceref bRegPortOutLcl_Data__5__i_3))
18989          (portref I0 (instanceref bRegPortOutLcl_Data__6__i_2))
18990          (portref I0 (instanceref bRegPortOutLcl_Data__7__i_2))
18991          (portref I0 (instanceref bRegPortOutLcl_Data__8__i_2))
18992          (portref I5 (instanceref bRegPortOutLcl_Data__0__i_4))
18993          (portref O (instanceref bRegPortOutLcl_Data__8__i_6))
18994          )
18995         )
18996         (net (rename bRegPortOutLcl_Data__9__i_1_n_0 "bRegPortOutLcl[Data][9]_i_1_n_0") (joined
18997          (portref D (instanceref bRegPortOutLcl_reg_Data__9_))
18998          (portref O (instanceref bRegPortOutLcl_Data__9__i_1))
18999          )
19000         )
19001         (net (rename bRegPortOutLcl_Data__9__i_2_n_0 "bRegPortOutLcl[Data][9]_i_2_n_0") (joined
19002          (portref I0 (instanceref bRegPortOutLcl_Data__9__i_1))
19003          (portref O (instanceref bRegPortOutLcl_Data__9__i_2))
19004          )
19005         )
19006         (net (rename bRegPortOutLcl_Data__9__i_3_n_0 "bRegPortOutLcl[Data][9]_i_3_n_0") (joined
19007          (portref I1 (instanceref bRegPortOutLcl_Data__9__i_1))
19008          (portref O (instanceref bRegPortOutLcl_Data__9__i_3))
19009          )
19010         )
19011         (net (rename bRegPortOutLcl_Data__9__i_4_n_0 "bRegPortOutLcl[Data][9]_i_4_n_0") (joined
19012          (portref I2 (instanceref bRegPortOutLcl_Data__9__i_1))
19013          (portref O (instanceref bRegPortOutLcl_Data__9__i_4))
19014          )
19015         )
19016         (net (rename bRegPortOutLcl_Data__9__i_5_n_0 "bRegPortOutLcl[Data][9]_i_5_n_0") (joined
19017          (portref I3 (instanceref bRegPortOutLcl_Data__9__i_1))
19018          (portref O (instanceref bRegPortOutLcl_Data__9__i_5))
19019          )
19020         )
19021         (net bResetTdcDone (joined
19022          (portref I1 (instanceref bRegPortOutLcl_Data__0__i_5))
19023          (portref Q (instanceref ResetDoneDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19024          )
19025         )
19026         (net bResetTdc_i_1_n_0 (joined
19027          (portref D (instanceref bResetTdc_reg))
19028          (portref O (instanceref bResetTdc_i_1))
19029          )
19030         )
19031         (net bResetTdc_reg_n_0 (joined
19032          (portref D (instanceref ResetTdcDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
19033          (portref I0 (instanceref bRegPortOutLcl_Data__0__i_6))
19034          (portref I2 (instanceref bOffsetUpdated_i_1))
19035          (portref I3 (instanceref bRegPortOutLcl_Data__1__i_2))
19036          (portref I5 (instanceref bResetTdc_i_1))
19037          (portref Q (instanceref bResetTdc_reg))
19038          )
19039         )
19040         (net (rename bRpOffsetStored_0_ "bRpOffsetStored[0]") (joined
19041          (portref I2 (instanceref bRegPortOutLcl_Data__0__i_5))
19042          (portref Q (instanceref bRpOffsetStored_reg_0_))
19043          )
19044         )
19045         (net (rename bRpOffsetStored_0__i_1_n_0 "bRpOffsetStored[0]_i_1_n_0") (joined
19046          (portref D (instanceref bRpOffsetStored_reg_0_))
19047          (portref O (instanceref bRpOffsetStored_0__i_1))
19048          )
19049         )
19050         (net (rename bRpOffsetStored_10_ "bRpOffsetStored[10]") (joined
19051          (portref I0 (instanceref bRegPortOutLcl_Data__10__i_3))
19052          (portref Q (instanceref bRpOffsetStored_reg_10_))
19053          )
19054         )
19055         (net (rename bRpOffsetStored_10__i_1_n_0 "bRpOffsetStored[10]_i_1_n_0") (joined
19056          (portref D (instanceref bRpOffsetStored_reg_10_))
19057          (portref O (instanceref bRpOffsetStored_10__i_1))
19058          )
19059         )
19060         (net (rename bRpOffsetStored_11_ "bRpOffsetStored[11]") (joined
19061          (portref I1 (instanceref bRegPortOutLcl_Data__11__i_2))
19062          (portref Q (instanceref bRpOffsetStored_reg_11_))
19063          )
19064         )
19065         (net (rename bRpOffsetStored_11__i_1_n_0 "bRpOffsetStored[11]_i_1_n_0") (joined
19066          (portref D (instanceref bRpOffsetStored_reg_11_))
19067          (portref O (instanceref bRpOffsetStored_11__i_1))
19068          )
19069         )
19070         (net (rename bRpOffsetStored_12_ "bRpOffsetStored[12]") (joined
19071          (portref I4 (instanceref bRegPortOutLcl_Data__12__i_3))
19072          (portref Q (instanceref bRpOffsetStored_reg_12_))
19073          )
19074         )
19075         (net (rename bRpOffsetStored_12__i_1_n_0 "bRpOffsetStored[12]_i_1_n_0") (joined
19076          (portref D (instanceref bRpOffsetStored_reg_12_))
19077          (portref O (instanceref bRpOffsetStored_12__i_1))
19078          )
19079         )
19080         (net (rename bRpOffsetStored_13_ "bRpOffsetStored[13]") (joined
19081          (portref I1 (instanceref bRegPortOutLcl_Data__13__i_3))
19082          (portref Q (instanceref bRpOffsetStored_reg_13_))
19083          )
19084         )
19085         (net (rename bRpOffsetStored_13__i_1_n_0 "bRpOffsetStored[13]_i_1_n_0") (joined
19086          (portref D (instanceref bRpOffsetStored_reg_13_))
19087          (portref O (instanceref bRpOffsetStored_13__i_1))
19088          )
19089         )
19090         (net (rename bRpOffsetStored_14_ "bRpOffsetStored[14]") (joined
19091          (portref I1 (instanceref bRegPortOutLcl_Data__14__i_2))
19092          (portref Q (instanceref bRpOffsetStored_reg_14_))
19093          )
19094         )
19095         (net (rename bRpOffsetStored_14__i_1_n_0 "bRpOffsetStored[14]_i_1_n_0") (joined
19096          (portref D (instanceref bRpOffsetStored_reg_14_))
19097          (portref O (instanceref bRpOffsetStored_14__i_1))
19098          )
19099         )
19100         (net (rename bRpOffsetStored_15_ "bRpOffsetStored[15]") (joined
19101          (portref I1 (instanceref bRegPortOutLcl_Data__15__i_2))
19102          (portref Q (instanceref bRpOffsetStored_reg_15_))
19103          )
19104         )
19105         (net (rename bRpOffsetStored_15__i_1_n_0 "bRpOffsetStored[15]_i_1_n_0") (joined
19106          (portref D (instanceref bRpOffsetStored_reg_15_))
19107          (portref O (instanceref bRpOffsetStored_15__i_1))
19108          )
19109         )
19110         (net (rename bRpOffsetStored_16_ "bRpOffsetStored[16]") (joined
19111          (portref I0 (instanceref bRegPortOutLcl_Data__16__i_4))
19112          (portref Q (instanceref bRpOffsetStored_reg_16_))
19113          )
19114         )
19115         (net (rename bRpOffsetStored_16__i_1_n_0 "bRpOffsetStored[16]_i_1_n_0") (joined
19116          (portref D (instanceref bRpOffsetStored_reg_16_))
19117          (portref O (instanceref bRpOffsetStored_16__i_1))
19118          )
19119         )
19120         (net (rename bRpOffsetStored_17_ "bRpOffsetStored[17]") (joined
19121          (portref I4 (instanceref bRegPortOutLcl_Data__17__i_3))
19122          (portref Q (instanceref bRpOffsetStored_reg_17_))
19123          )
19124         )
19125         (net (rename bRpOffsetStored_17__i_1_n_0 "bRpOffsetStored[17]_i_1_n_0") (joined
19126          (portref D (instanceref bRpOffsetStored_reg_17_))
19127          (portref O (instanceref bRpOffsetStored_17__i_1))
19128          )
19129         )
19130         (net (rename bRpOffsetStored_18_ "bRpOffsetStored[18]") (joined
19131          (portref I4 (instanceref bRegPortOutLcl_Data__18__i_3))
19132          (portref Q (instanceref bRpOffsetStored_reg_18_))
19133          )
19134         )
19135         (net (rename bRpOffsetStored_18__i_1_n_0 "bRpOffsetStored[18]_i_1_n_0") (joined
19136          (portref D (instanceref bRpOffsetStored_reg_18_))
19137          (portref O (instanceref bRpOffsetStored_18__i_1))
19138          )
19139         )
19140         (net (rename bRpOffsetStored_19_ "bRpOffsetStored[19]") (joined
19141          (portref I1 (instanceref bRegPortOutLcl_Data__19__i_3))
19142          (portref Q (instanceref bRpOffsetStored_reg_19_))
19143          )
19144         )
19145         (net (rename bRpOffsetStored_19__i_1_n_0 "bRpOffsetStored[19]_i_1_n_0") (joined
19146          (portref D (instanceref bRpOffsetStored_reg_19_))
19147          (portref O (instanceref bRpOffsetStored_19__i_1))
19148          )
19149         )
19150         (net (rename bRpOffsetStored_1_ "bRpOffsetStored[1]") (joined
19151          (portref I1 (instanceref bRegPortOutLcl_Data__1__i_4))
19152          (portref Q (instanceref bRpOffsetStored_reg_1_))
19153          )
19154         )
19155         (net (rename bRpOffsetStored_1__i_1_n_0 "bRpOffsetStored[1]_i_1_n_0") (joined
19156          (portref D (instanceref bRpOffsetStored_reg_1_))
19157          (portref O (instanceref bRpOffsetStored_1__i_1))
19158          )
19159         )
19160         (net (rename bRpOffsetStored_20_ "bRpOffsetStored[20]") (joined
19161          (portref I1 (instanceref bRegPortOutLcl_Data__20__i_2))
19162          (portref Q (instanceref bRpOffsetStored_reg_20_))
19163          )
19164         )
19165         (net (rename bRpOffsetStored_20__i_1_n_0 "bRpOffsetStored[20]_i_1_n_0") (joined
19166          (portref D (instanceref bRpOffsetStored_reg_20_))
19167          (portref O (instanceref bRpOffsetStored_20__i_1))
19168          )
19169         )
19170         (net (rename bRpOffsetStored_21_ "bRpOffsetStored[21]") (joined
19171          (portref I1 (instanceref bRegPortOutLcl_Data__21__i_2))
19172          (portref Q (instanceref bRpOffsetStored_reg_21_))
19173          )
19174         )
19175         (net (rename bRpOffsetStored_21__i_1_n_0 "bRpOffsetStored[21]_i_1_n_0") (joined
19176          (portref D (instanceref bRpOffsetStored_reg_21_))
19177          (portref O (instanceref bRpOffsetStored_21__i_1))
19178          )
19179         )
19180         (net (rename bRpOffsetStored_22_ "bRpOffsetStored[22]") (joined
19181          (portref I1 (instanceref bRegPortOutLcl_Data__22__i_2))
19182          (portref Q (instanceref bRpOffsetStored_reg_22_))
19183          )
19184         )
19185         (net (rename bRpOffsetStored_22__i_1_n_0 "bRpOffsetStored[22]_i_1_n_0") (joined
19186          (portref D (instanceref bRpOffsetStored_reg_22_))
19187          (portref O (instanceref bRpOffsetStored_22__i_1))
19188          )
19189         )
19190         (net (rename bRpOffsetStored_23_ "bRpOffsetStored[23]") (joined
19191          (portref I1 (instanceref bRegPortOutLcl_Data__23__i_2))
19192          (portref Q (instanceref bRpOffsetStored_reg_23_))
19193          )
19194         )
19195         (net (rename bRpOffsetStored_23__i_1_n_0 "bRpOffsetStored[23]_i_1_n_0") (joined
19196          (portref D (instanceref bRpOffsetStored_reg_23_))
19197          (portref O (instanceref bRpOffsetStored_23__i_1))
19198          )
19199         )
19200         (net (rename bRpOffsetStored_24_ "bRpOffsetStored[24]") (joined
19201          (portref I1 (instanceref bRegPortOutLcl_Data__24__i_3))
19202          (portref Q (instanceref bRpOffsetStored_reg_24_))
19203          )
19204         )
19205         (net (rename bRpOffsetStored_24__i_1_n_0 "bRpOffsetStored[24]_i_1_n_0") (joined
19206          (portref D (instanceref bRpOffsetStored_reg_24_))
19207          (portref O (instanceref bRpOffsetStored_24__i_1))
19208          )
19209         )
19210         (net (rename bRpOffsetStored_25_ "bRpOffsetStored[25]") (joined
19211          (portref I1 (instanceref bRegPortOutLcl_Data__25__i_4))
19212          (portref Q (instanceref bRpOffsetStored_reg_25_))
19213          )
19214         )
19215         (net (rename bRpOffsetStored_25__i_1_n_0 "bRpOffsetStored[25]_i_1_n_0") (joined
19216          (portref D (instanceref bRpOffsetStored_reg_25_))
19217          (portref O (instanceref bRpOffsetStored_25__i_1))
19218          )
19219         )
19220         (net (rename bRpOffsetStored_26_ "bRpOffsetStored[26]") (joined
19221          (portref I4 (instanceref bRegPortOutLcl_Data__26__i_4))
19222          (portref Q (instanceref bRpOffsetStored_reg_26_))
19223          )
19224         )
19225         (net (rename bRpOffsetStored_26__i_1_n_0 "bRpOffsetStored[26]_i_1_n_0") (joined
19226          (portref D (instanceref bRpOffsetStored_reg_26_))
19227          (portref O (instanceref bRpOffsetStored_26__i_1))
19228          )
19229         )
19230         (net (rename bRpOffsetStored_27_ "bRpOffsetStored[27]") (joined
19231          (portref I4 (instanceref bRegPortOutLcl_Data__27__i_5))
19232          (portref Q (instanceref bRpOffsetStored_reg_27_))
19233          )
19234         )
19235         (net (rename bRpOffsetStored_27__i_1_n_0 "bRpOffsetStored[27]_i_1_n_0") (joined
19236          (portref D (instanceref bRpOffsetStored_reg_27_))
19237          (portref O (instanceref bRpOffsetStored_27__i_1))
19238          )
19239         )
19240         (net (rename bRpOffsetStored_28_ "bRpOffsetStored[28]") (joined
19241          (portref I0 (instanceref bRegPortOutLcl_Data__28__i_3))
19242          (portref Q (instanceref bRpOffsetStored_reg_28_))
19243          )
19244         )
19245         (net (rename bRpOffsetStored_28__i_1_n_0 "bRpOffsetStored[28]_i_1_n_0") (joined
19246          (portref D (instanceref bRpOffsetStored_reg_28_))
19247          (portref O (instanceref bRpOffsetStored_28__i_1))
19248          )
19249         )
19250         (net (rename bRpOffsetStored_29_ "bRpOffsetStored[29]") (joined
19251          (portref I1 (instanceref bRegPortOutLcl_Data__29__i_2))
19252          (portref Q (instanceref bRpOffsetStored_reg_29_))
19253          )
19254         )
19255         (net (rename bRpOffsetStored_29__i_1_n_0 "bRpOffsetStored[29]_i_1_n_0") (joined
19256          (portref D (instanceref bRpOffsetStored_reg_29_))
19257          (portref O (instanceref bRpOffsetStored_29__i_1))
19258          )
19259         )
19260         (net (rename bRpOffsetStored_2_ "bRpOffsetStored[2]") (joined
19261          (portref I1 (instanceref bRegPortOutLcl_Data__2__i_5))
19262          (portref Q (instanceref bRpOffsetStored_reg_2_))
19263          )
19264         )
19265         (net (rename bRpOffsetStored_2__i_1_n_0 "bRpOffsetStored[2]_i_1_n_0") (joined
19266          (portref D (instanceref bRpOffsetStored_reg_2_))
19267          (portref O (instanceref bRpOffsetStored_2__i_1))
19268          )
19269         )
19270         (net (rename bRpOffsetStored_30_ "bRpOffsetStored[30]") (joined
19271          (portref I1 (instanceref bRegPortOutLcl_Data__30__i_2))
19272          (portref Q (instanceref bRpOffsetStored_reg_30_))
19273          )
19274         )
19275         (net (rename bRpOffsetStored_30__i_1_n_0 "bRpOffsetStored[30]_i_1_n_0") (joined
19276          (portref D (instanceref bRpOffsetStored_reg_30_))
19277          (portref O (instanceref bRpOffsetStored_30__i_1))
19278          )
19279         )
19280         (net (rename bRpOffsetStored_31_ "bRpOffsetStored[31]") (joined
19281          (portref I1 (instanceref bRegPortOutLcl_Data__31__i_2))
19282          (portref Q (instanceref bRpOffsetStored_reg_31_))
19283          )
19284         )
19285         (net (rename bRpOffsetStored_31__i_1_n_0 "bRpOffsetStored[31]_i_1_n_0") (joined
19286          (portref D (instanceref bRpOffsetStored_reg_31_))
19287          (portref O (instanceref bRpOffsetStored_31__i_1))
19288          )
19289         )
19290         (net (rename bRpOffsetStored_32_ "bRpOffsetStored[32]") (joined
19291          (portref I1 (instanceref bRegPortOutLcl_Data__0__i_4))
19292          (portref Q (instanceref bRpOffsetStored_reg_32_))
19293          )
19294         )
19295         (net (rename bRpOffsetStored_32__i_1_n_0 "bRpOffsetStored[32]_i_1_n_0") (joined
19296          (portref D (instanceref bRpOffsetStored_reg_32_))
19297          (portref O (instanceref bRpOffsetStored_32__i_1))
19298          )
19299         )
19300         (net (rename bRpOffsetStored_33_ "bRpOffsetStored[33]") (joined
19301          (portref I3 (instanceref bRegPortOutLcl_Data__1__i_4))
19302          (portref Q (instanceref bRpOffsetStored_reg_33_))
19303          )
19304         )
19305         (net (rename bRpOffsetStored_33__i_1_n_0 "bRpOffsetStored[33]_i_1_n_0") (joined
19306          (portref D (instanceref bRpOffsetStored_reg_33_))
19307          (portref O (instanceref bRpOffsetStored_33__i_1))
19308          )
19309         )
19310         (net (rename bRpOffsetStored_34_ "bRpOffsetStored[34]") (joined
19311          (portref I3 (instanceref bRegPortOutLcl_Data__2__i_5))
19312          (portref Q (instanceref bRpOffsetStored_reg_34_))
19313          )
19314         )
19315         (net (rename bRpOffsetStored_34__i_1_n_0 "bRpOffsetStored[34]_i_1_n_0") (joined
19316          (portref D (instanceref bRpOffsetStored_reg_34_))
19317          (portref O (instanceref bRpOffsetStored_34__i_1))
19318          )
19319         )
19320         (net (rename bRpOffsetStored_35_ "bRpOffsetStored[35]") (joined
19321          (portref I3 (instanceref bRegPortOutLcl_Data__3__i_3))
19322          (portref Q (instanceref bRpOffsetStored_reg_35_))
19323          )
19324         )
19325         (net (rename bRpOffsetStored_35__i_1_n_0 "bRpOffsetStored[35]_i_1_n_0") (joined
19326          (portref D (instanceref bRpOffsetStored_reg_35_))
19327          (portref O (instanceref bRpOffsetStored_35__i_1))
19328          )
19329         )
19330         (net (rename bRpOffsetStored_36_ "bRpOffsetStored[36]") (joined
19331          (portref I3 (instanceref bRegPortOutLcl_Data__4__i_4))
19332          (portref Q (instanceref bRpOffsetStored_reg_36_))
19333          )
19334         )
19335         (net (rename bRpOffsetStored_36__i_1_n_0 "bRpOffsetStored[36]_i_1_n_0") (joined
19336          (portref D (instanceref bRpOffsetStored_reg_36_))
19337          (portref O (instanceref bRpOffsetStored_36__i_1))
19338          )
19339         )
19340         (net (rename bRpOffsetStored_37_ "bRpOffsetStored[37]") (joined
19341          (portref I3 (instanceref bRegPortOutLcl_Data__5__i_4))
19342          (portref Q (instanceref bRpOffsetStored_reg_37_))
19343          )
19344         )
19345         (net (rename bRpOffsetStored_37__i_1_n_0 "bRpOffsetStored[37]_i_1_n_0") (joined
19346          (portref D (instanceref bRpOffsetStored_reg_37_))
19347          (portref O (instanceref bRpOffsetStored_37__i_1))
19348          )
19349         )
19350         (net (rename bRpOffsetStored_38_ "bRpOffsetStored[38]") (joined
19351          (portref I3 (instanceref bRegPortOutLcl_Data__6__i_5))
19352          (portref Q (instanceref bRpOffsetStored_reg_38_))
19353          )
19354         )
19355         (net (rename bRpOffsetStored_38__i_1_n_0 "bRpOffsetStored[38]_i_1_n_0") (joined
19356          (portref D (instanceref bRpOffsetStored_reg_38_))
19357          (portref O (instanceref bRpOffsetStored_38__i_1))
19358          )
19359         )
19360         (net (rename bRpOffsetStored_39_ "bRpOffsetStored[39]") (joined
19361          (portref I3 (instanceref bRegPortOutLcl_Data__7__i_3))
19362          (portref Q (instanceref bRpOffsetStored_reg_39_))
19363          )
19364         )
19365         (net (rename bRpOffsetStored_39__i_2_n_0 "bRpOffsetStored[39]_i_2_n_0") (joined
19366          (portref D (instanceref bRpOffsetStored_reg_39_))
19367          (portref O (instanceref bRpOffsetStored_39__i_2))
19368          )
19369         )
19370         (net (rename bRpOffsetStored_39__i_3_n_0 "bRpOffsetStored[39]_i_3_n_0") (joined
19371          (portref I0 (instanceref bRpOffsetStored_39__i_1))
19372          (portref I1 (instanceref bClearTdcRegs_i_1))
19373          (portref I2 (instanceref bScratch_31__i_3))
19374          (portref O (instanceref bRpOffsetStored_39__i_3))
19375          )
19376         )
19377         (net (rename bRpOffsetStored_39__i_4_n_0 "bRpOffsetStored[39]_i_4_n_0") (joined
19378          (portref I0 (instanceref bRegPortOutLcl_Data__8__i_6))
19379          (portref I3 (instanceref bRpOffsetStored_39__i_1))
19380          (portref O (instanceref bRpOffsetStored_39__i_4))
19381          )
19382         )
19383         (net (rename bRpOffsetStored_3_ "bRpOffsetStored[3]") (joined
19384          (portref I1 (instanceref bRegPortOutLcl_Data__3__i_3))
19385          (portref Q (instanceref bRpOffsetStored_reg_3_))
19386          )
19387         )
19388         (net (rename bRpOffsetStored_3__i_1_n_0 "bRpOffsetStored[3]_i_1_n_0") (joined
19389          (portref D (instanceref bRpOffsetStored_reg_3_))
19390          (portref O (instanceref bRpOffsetStored_3__i_1))
19391          )
19392         )
19393         (net (rename bRpOffsetStored_4_ "bRpOffsetStored[4]") (joined
19394          (portref I1 (instanceref bRegPortOutLcl_Data__4__i_4))
19395          (portref Q (instanceref bRpOffsetStored_reg_4_))
19396          )
19397         )
19398         (net (rename bRpOffsetStored_4__i_1_n_0 "bRpOffsetStored[4]_i_1_n_0") (joined
19399          (portref D (instanceref bRpOffsetStored_reg_4_))
19400          (portref O (instanceref bRpOffsetStored_4__i_1))
19401          )
19402         )
19403         (net (rename bRpOffsetStored_5_ "bRpOffsetStored[5]") (joined
19404          (portref I1 (instanceref bRegPortOutLcl_Data__5__i_4))
19405          (portref Q (instanceref bRpOffsetStored_reg_5_))
19406          )
19407         )
19408         (net (rename bRpOffsetStored_5__i_1_n_0 "bRpOffsetStored[5]_i_1_n_0") (joined
19409          (portref D (instanceref bRpOffsetStored_reg_5_))
19410          (portref O (instanceref bRpOffsetStored_5__i_1))
19411          )
19412         )
19413         (net (rename bRpOffsetStored_6_ "bRpOffsetStored[6]") (joined
19414          (portref I1 (instanceref bRegPortOutLcl_Data__6__i_5))
19415          (portref Q (instanceref bRpOffsetStored_reg_6_))
19416          )
19417         )
19418         (net (rename bRpOffsetStored_6__i_1_n_0 "bRpOffsetStored[6]_i_1_n_0") (joined
19419          (portref D (instanceref bRpOffsetStored_reg_6_))
19420          (portref O (instanceref bRpOffsetStored_6__i_1))
19421          )
19422         )
19423         (net (rename bRpOffsetStored_7_ "bRpOffsetStored[7]") (joined
19424          (portref I1 (instanceref bRegPortOutLcl_Data__7__i_3))
19425          (portref Q (instanceref bRpOffsetStored_reg_7_))
19426          )
19427         )
19428         (net (rename bRpOffsetStored_7__i_1_n_0 "bRpOffsetStored[7]_i_1_n_0") (joined
19429          (portref D (instanceref bRpOffsetStored_reg_7_))
19430          (portref O (instanceref bRpOffsetStored_7__i_1))
19431          )
19432         )
19433         (net (rename bRpOffsetStored_8_ "bRpOffsetStored[8]") (joined
19434          (portref I4 (instanceref bRegPortOutLcl_Data__8__i_3))
19435          (portref Q (instanceref bRpOffsetStored_reg_8_))
19436          )
19437         )
19438         (net (rename bRpOffsetStored_8__i_1_n_0 "bRpOffsetStored[8]_i_1_n_0") (joined
19439          (portref D (instanceref bRpOffsetStored_reg_8_))
19440          (portref O (instanceref bRpOffsetStored_8__i_1))
19441          )
19442         )
19443         (net (rename bRpOffsetStored_9_ "bRpOffsetStored[9]") (joined
19444          (portref I0 (instanceref bRegPortOutLcl_Data__9__i_4))
19445          (portref Q (instanceref bRpOffsetStored_reg_9_))
19446          )
19447         )
19448         (net (rename bRpOffsetStored_9__i_1_n_0 "bRpOffsetStored[9]_i_1_n_0") (joined
19449          (portref D (instanceref bRpOffsetStored_reg_9_))
19450          (portref O (instanceref bRpOffsetStored_9__i_1))
19451          )
19452         )
19453         (net (rename bRpPeriodCtrlReadbackSyncReset_0_ "bRpPeriodCtrlReadbackSyncReset[0]") (joined
19454          (portref I1 (instanceref bRegPortOutLcl_Data__0__i_2))
19455          (portref Q (instanceref RpCntReadbackDsGen_0__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19456          )
19457         )
19458         (net (rename bRpPeriodCtrlReadbackSyncReset_10_ "bRpPeriodCtrlReadbackSyncReset[10]") (joined
19459          (portref I3 (instanceref bRegPortOutLcl_Data__10__i_2))
19460          (portref Q (instanceref RpCntReadbackDsGen_10__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19461          )
19462         )
19463         (net (rename bRpPeriodCtrlReadbackSyncReset_11_ "bRpPeriodCtrlReadbackSyncReset[11]") (joined
19464          (portref I4 (instanceref bRegPortOutLcl_Data__11__i_2))
19465          (portref Q (instanceref RpCntReadbackDsGen_11__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19466          )
19467         )
19468         (net (rename bRpPeriodCtrlReadbackSyncReset_12_ "bRpPeriodCtrlReadbackSyncReset[12]") (joined
19469          (portref I1 (instanceref bRegPortOutLcl_Data__12__i_4))
19470          (portref Q (instanceref RpCntReadbackDsGen_12__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19471          )
19472         )
19473         (net (rename bRpPeriodCtrlReadbackSyncReset_13_ "bRpPeriodCtrlReadbackSyncReset[13]") (joined
19474          (portref I4 (instanceref bRegPortOutLcl_Data__13__i_3))
19475          (portref Q (instanceref RpCntReadbackDsGen_13__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19476          )
19477         )
19478         (net (rename bRpPeriodCtrlReadbackSyncReset_14_ "bRpPeriodCtrlReadbackSyncReset[14]") (joined
19479          (portref I4 (instanceref bRegPortOutLcl_Data__14__i_2))
19480          (portref Q (instanceref RpCntReadbackDsGen_14__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19481          )
19482         )
19483         (net (rename bRpPeriodCtrlReadbackSyncReset_15_ "bRpPeriodCtrlReadbackSyncReset[15]") (joined
19484          (portref I4 (instanceref bRegPortOutLcl_Data__15__i_2))
19485          (portref Q (instanceref RpCntReadbackDsGen_15__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19486          )
19487         )
19488         (net (rename bRpPeriodCtrlReadbackSyncReset_16_ "bRpPeriodCtrlReadbackSyncReset[16]") (joined
19489          (portref I3 (instanceref bRegPortOutLcl_Data__16__i_5))
19490          (portref Q (instanceref RpCntReadbackDsGen_16__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19491          )
19492         )
19493         (net (rename bRpPeriodCtrlReadbackSyncReset_17_ "bRpPeriodCtrlReadbackSyncReset[17]") (joined
19494          (portref I1 (instanceref bRegPortOutLcl_Data__17__i_4))
19495          (portref Q (instanceref RpCntReadbackDsGen_17__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19496          )
19497         )
19498         (net (rename bRpPeriodCtrlReadbackSyncReset_18_ "bRpPeriodCtrlReadbackSyncReset[18]") (joined
19499          (portref I1 (instanceref bRegPortOutLcl_Data__18__i_4))
19500          (portref Q (instanceref RpCntReadbackDsGen_18__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19501          )
19502         )
19503         (net (rename bRpPeriodCtrlReadbackSyncReset_19_ "bRpPeriodCtrlReadbackSyncReset[19]") (joined
19504          (portref I4 (instanceref bRegPortOutLcl_Data__19__i_3))
19505          (portref Q (instanceref RpCntReadbackDsGen_19__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19506          )
19507         )
19508         (net (rename bRpPeriodCtrlReadbackSyncReset_1_ "bRpPeriodCtrlReadbackSyncReset[1]") (joined
19509          (portref I3 (instanceref bRegPortOutLcl_Data__1__i_3))
19510          (portref Q (instanceref RpCntReadbackDsGen_1__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19511          )
19512         )
19513         (net (rename bRpPeriodCtrlReadbackSyncReset_20_ "bRpPeriodCtrlReadbackSyncReset[20]") (joined
19514          (portref I4 (instanceref bRegPortOutLcl_Data__20__i_2))
19515          (portref Q (instanceref RpCntReadbackDsGen_20__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19516          )
19517         )
19518         (net (rename bRpPeriodCtrlReadbackSyncReset_21_ "bRpPeriodCtrlReadbackSyncReset[21]") (joined
19519          (portref I4 (instanceref bRegPortOutLcl_Data__21__i_2))
19520          (portref Q (instanceref RpCntReadbackDsGen_21__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19521          )
19522         )
19523         (net (rename bRpPeriodCtrlReadbackSyncReset_22_ "bRpPeriodCtrlReadbackSyncReset[22]") (joined
19524          (portref I4 (instanceref bRegPortOutLcl_Data__22__i_2))
19525          (portref Q (instanceref RpCntReadbackDsGen_22__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19526          )
19527         )
19528         (net (rename bRpPeriodCtrlReadbackSyncReset_23_ "bRpPeriodCtrlReadbackSyncReset[23]") (joined
19529          (portref I4 (instanceref bRegPortOutLcl_Data__23__i_2))
19530          (portref Q (instanceref RpCntReadbackDsGen_23__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19531          )
19532         )
19533         (net (rename bRpPeriodCtrlReadbackSyncReset_24_ "bRpPeriodCtrlReadbackSyncReset[24]") (joined
19534          (portref I4 (instanceref bRegPortOutLcl_Data__24__i_3))
19535          (portref Q (instanceref RpCntReadbackDsGen_24__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19536          )
19537         )
19538         (net (rename bRpPeriodCtrlReadbackSyncReset_25_ "bRpPeriodCtrlReadbackSyncReset[25]") (joined
19539          (portref I4 (instanceref bRegPortOutLcl_Data__25__i_4))
19540          (portref Q (instanceref RpCntReadbackDsGen_25__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19541          )
19542         )
19543         (net (rename bRpPeriodCtrlReadbackSyncReset_26_ "bRpPeriodCtrlReadbackSyncReset[26]") (joined
19544          (portref I1 (instanceref bRegPortOutLcl_Data__26__i_5))
19545          (portref Q (instanceref RpCntReadbackDsGen_26__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19546          )
19547         )
19548         (net (rename bRpPeriodCtrlReadbackSyncReset_27_ "bRpPeriodCtrlReadbackSyncReset[27]") (joined
19549          (portref I1 (instanceref bRegPortOutLcl_Data__27__i_6))
19550          (portref Q (instanceref RpCntReadbackDsGen_27__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19551          )
19552         )
19553         (net (rename bRpPeriodCtrlReadbackSyncReset_28_ "bRpPeriodCtrlReadbackSyncReset[28]") (joined
19554          (portref I3 (instanceref bRegPortOutLcl_Data__28__i_2))
19555          (portref Q (instanceref RpCntReadbackDsGen_28__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19556          )
19557         )
19558         (net (rename bRpPeriodCtrlReadbackSyncReset_29_ "bRpPeriodCtrlReadbackSyncReset[29]") (joined
19559          (portref I4 (instanceref bRegPortOutLcl_Data__29__i_2))
19560          (portref Q (instanceref RpCntReadbackDsGen_29__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19561          )
19562         )
19563         (net (rename bRpPeriodCtrlReadbackSyncReset_2_ "bRpPeriodCtrlReadbackSyncReset[2]") (joined
19564          (portref I3 (instanceref bRegPortOutLcl_Data__2__i_2))
19565          (portref Q (instanceref RpCntReadbackDsGen_2__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19566          )
19567         )
19568         (net (rename bRpPeriodCtrlReadbackSyncReset_30_ "bRpPeriodCtrlReadbackSyncReset[30]") (joined
19569          (portref I4 (instanceref bRegPortOutLcl_Data__30__i_2))
19570          (portref Q (instanceref RpCntReadbackDsGen_30__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19571          )
19572         )
19573         (net (rename bRpPeriodCtrlReadbackSyncReset_31_ "bRpPeriodCtrlReadbackSyncReset[31]") (joined
19574          (portref I4 (instanceref bRegPortOutLcl_Data__31__i_2))
19575          (portref Q (instanceref RpCntReadbackDsGen_31__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19576          )
19577         )
19578         (net (rename bRpPeriodCtrlReadbackSyncReset_3_ "bRpPeriodCtrlReadbackSyncReset[3]") (joined
19579          (portref I3 (instanceref bRegPortOutLcl_Data__3__i_2))
19580          (portref Q (instanceref RpCntReadbackDsGen_3__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19581          )
19582         )
19583         (net (rename bRpPeriodCtrlReadbackSyncReset_4_ "bRpPeriodCtrlReadbackSyncReset[4]") (joined
19584          (portref I3 (instanceref bRegPortOutLcl_Data__4__i_2))
19585          (portref Q (instanceref RpCntReadbackDsGen_4__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19586          )
19587         )
19588         (net (rename bRpPeriodCtrlReadbackSyncReset_5_ "bRpPeriodCtrlReadbackSyncReset[5]") (joined
19589          (portref I3 (instanceref bRegPortOutLcl_Data__5__i_3))
19590          (portref Q (instanceref RpCntReadbackDsGen_5__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19591          )
19592         )
19593         (net (rename bRpPeriodCtrlReadbackSyncReset_6_ "bRpPeriodCtrlReadbackSyncReset[6]") (joined
19594          (portref I3 (instanceref bRegPortOutLcl_Data__6__i_2))
19595          (portref Q (instanceref RpCntReadbackDsGen_6__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19596          )
19597         )
19598         (net (rename bRpPeriodCtrlReadbackSyncReset_7_ "bRpPeriodCtrlReadbackSyncReset[7]") (joined
19599          (portref I3 (instanceref bRegPortOutLcl_Data__7__i_2))
19600          (portref Q (instanceref RpCntReadbackDsGen_7__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19601          )
19602         )
19603         (net (rename bRpPeriodCtrlReadbackSyncReset_8_ "bRpPeriodCtrlReadbackSyncReset[8]") (joined
19604          (portref I3 (instanceref bRegPortOutLcl_Data__8__i_2))
19605          (portref Q (instanceref RpCntReadbackDsGen_8__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19606          )
19607         )
19608         (net (rename bRpPeriodCtrlReadbackSyncReset_9_ "bRpPeriodCtrlReadbackSyncReset[9]") (joined
19609          (portref I3 (instanceref bRegPortOutLcl_Data__9__i_5))
19610          (portref Q (instanceref RpCntReadbackDsGen_9__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19611          )
19612         )
19613         (net (rename bRpPeriodCtrlReadback_0_ "bRpPeriodCtrlReadback[0]") (joined
19614          (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
19615          (portref D (instanceref RpCntReadbackDsGen_0__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
19616          (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_0_))
19617          )
19618         )
19619         (net (rename bRpPeriodCtrlReadback_10_ "bRpPeriodCtrlReadback[10]") (joined
19620          (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
19621          (portref D (instanceref RpCntReadbackDsGen_10__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
19622          (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_10_))
19623          )
19624         )
19625         (net (rename bRpPeriodCtrlReadback_11_ "bRpPeriodCtrlReadback[11]") (joined
19626          (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
19627          (portref D (instanceref RpCntReadbackDsGen_11__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
19628          (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_11_))
19629          )
19630         )
19631         (net (rename bRpPeriodCtrlReadback_12_ "bRpPeriodCtrlReadback[12]") (joined
19632          (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
19633          (portref D (instanceref RpCntReadbackDsGen_12__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
19634          (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_12_))
19635          )
19636         )
19637         (net (rename bRpPeriodCtrlReadback_13_ "bRpPeriodCtrlReadback[13]") (joined
19638          (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
19639          (portref D (instanceref RpCntReadbackDsGen_13__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
19640          (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_13_))
19641          )
19642         )
19643         (net (rename bRpPeriodCtrlReadback_14_ "bRpPeriodCtrlReadback[14]") (joined
19644          (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
19645          (portref D (instanceref RpCntReadbackDsGen_14__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
19646          (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_14_))
19647          )
19648         )
19649         (net (rename bRpPeriodCtrlReadback_15_ "bRpPeriodCtrlReadback[15]") (joined
19650          (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
19651          (portref D (instanceref RpCntReadbackDsGen_15__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
19652          (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_15_))
19653          )
19654         )
19655         (net (rename bRpPeriodCtrlReadback_16_ "bRpPeriodCtrlReadback[16]") (joined
19656          (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
19657          (portref D (instanceref RpCntReadbackDsGen_16__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
19658          (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_16_))
19659          )
19660         )
19661         (net (rename bRpPeriodCtrlReadback_17_ "bRpPeriodCtrlReadback[17]") (joined
19662          (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
19663          (portref D (instanceref RpCntReadbackDsGen_17__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
19664          (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_17_))
19665          )
19666         )
19667         (net (rename bRpPeriodCtrlReadback_18_ "bRpPeriodCtrlReadback[18]") (joined
19668          (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
19669          (portref D (instanceref RpCntReadbackDsGen_18__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
19670          (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_18_))
19671          )
19672         )
19673         (net (rename bRpPeriodCtrlReadback_19_ "bRpPeriodCtrlReadback[19]") (joined
19674          (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
19675          (portref D (instanceref RpCntReadbackDsGen_19__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
19676          (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_19_))
19677          )
19678         )
19679         (net (rename bRpPeriodCtrlReadback_1_ "bRpPeriodCtrlReadback[1]") (joined
19680          (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
19681          (portref D (instanceref RpCntReadbackDsGen_1__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
19682          (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_1_))
19683          )
19684         )
19685         (net (rename bRpPeriodCtrlReadback_20_ "bRpPeriodCtrlReadback[20]") (joined
19686          (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
19687          (portref D (instanceref RpCntReadbackDsGen_20__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
19688          (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_20_))
19689          )
19690         )
19691         (net (rename bRpPeriodCtrlReadback_21_ "bRpPeriodCtrlReadback[21]") (joined
19692          (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
19693          (portref D (instanceref RpCntReadbackDsGen_21__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
19694          (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_21_))
19695          )
19696         )
19697         (net (rename bRpPeriodCtrlReadback_22_ "bRpPeriodCtrlReadback[22]") (joined
19698          (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
19699          (portref D (instanceref RpCntReadbackDsGen_22__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
19700          (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_22_))
19701          )
19702         )
19703         (net (rename bRpPeriodCtrlReadback_23_ "bRpPeriodCtrlReadback[23]") (joined
19704          (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
19705          (portref D (instanceref RpCntReadbackDsGen_23__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
19706          (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_23_))
19707          )
19708         )
19709         (net (rename bRpPeriodCtrlReadback_24_ "bRpPeriodCtrlReadback[24]") (joined
19710          (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
19711          (portref D (instanceref RpCntReadbackDsGen_24__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
19712          (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_24_))
19713          )
19714         )
19715         (net (rename bRpPeriodCtrlReadback_25_ "bRpPeriodCtrlReadback[25]") (joined
19716          (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
19717          (portref D (instanceref RpCntReadbackDsGen_25__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
19718          (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_25_))
19719          )
19720         )
19721         (net (rename bRpPeriodCtrlReadback_26_ "bRpPeriodCtrlReadback[26]") (joined
19722          (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
19723          (portref D (instanceref RpCntReadbackDsGen_26__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
19724          (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_26_))
19725          )
19726         )
19727         (net (rename bRpPeriodCtrlReadback_27_ "bRpPeriodCtrlReadback[27]") (joined
19728          (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
19729          (portref D (instanceref RpCntReadbackDsGen_27__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
19730          (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_27_))
19731          )
19732         )
19733         (net (rename bRpPeriodCtrlReadback_28_ "bRpPeriodCtrlReadback[28]") (joined
19734          (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
19735          (portref D (instanceref RpCntReadbackDsGen_28__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
19736          (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_28_))
19737          )
19738         )
19739         (net (rename bRpPeriodCtrlReadback_29_ "bRpPeriodCtrlReadback[29]") (joined
19740          (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
19741          (portref D (instanceref RpCntReadbackDsGen_29__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
19742          (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_29_))
19743          )
19744         )
19745         (net (rename bRpPeriodCtrlReadback_2_ "bRpPeriodCtrlReadback[2]") (joined
19746          (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
19747          (portref D (instanceref RpCntReadbackDsGen_2__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
19748          (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_2_))
19749          )
19750         )
19751         (net (rename bRpPeriodCtrlReadback_30_ "bRpPeriodCtrlReadback[30]") (joined
19752          (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
19753          (portref D (instanceref RpCntReadbackDsGen_30__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
19754          (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_30_))
19755          )
19756         )
19757         (net (rename bRpPeriodCtrlReadback_31_ "bRpPeriodCtrlReadback[31]") (joined
19758          (portref D (instanceref RpCntReadbackDsGen_31__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
19759          (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_31_))
19760          )
19761         )
19762         (net (rename bRpPeriodCtrlReadback_3_ "bRpPeriodCtrlReadback[3]") (joined
19763          (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
19764          (portref D (instanceref RpCntReadbackDsGen_3__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
19765          (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_3_))
19766          )
19767         )
19768         (net (rename bRpPeriodCtrlReadback_4_ "bRpPeriodCtrlReadback[4]") (joined
19769          (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
19770          (portref D (instanceref RpCntReadbackDsGen_4__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
19771          (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_4_))
19772          )
19773         )
19774         (net (rename bRpPeriodCtrlReadback_5_ "bRpPeriodCtrlReadback[5]") (joined
19775          (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
19776          (portref D (instanceref RpCntReadbackDsGen_5__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
19777          (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_5_))
19778          )
19779         )
19780         (net (rename bRpPeriodCtrlReadback_6_ "bRpPeriodCtrlReadback[6]") (joined
19781          (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
19782          (portref D (instanceref RpCntReadbackDsGen_6__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
19783          (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_6_))
19784          )
19785         )
19786         (net (rename bRpPeriodCtrlReadback_7_ "bRpPeriodCtrlReadback[7]") (joined
19787          (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
19788          (portref D (instanceref RpCntReadbackDsGen_7__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
19789          (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_7_))
19790          )
19791         )
19792         (net (rename bRpPeriodCtrlReadback_8_ "bRpPeriodCtrlReadback[8]") (joined
19793          (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
19794          (portref D (instanceref RpCntReadbackDsGen_8__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
19795          (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_8_))
19796          )
19797         )
19798         (net (rename bRpPeriodCtrlReadback_9_ "bRpPeriodCtrlReadback[9]") (joined
19799          (portref D (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
19800          (portref D (instanceref RpCntReadbackDsGen_9__RpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
19801          (portref Q (instanceref RpCntHs_BlkIn_iLclStoredData_reg_9_))
19802          )
19803         )
19804         (net (rename bRptPeriodCtrlReadbackSyncReset_0_ "bRptPeriodCtrlReadbackSyncReset[0]") (joined
19805          (portref I4 (instanceref bRegPortOutLcl_Data__0__i_2))
19806          (portref Q (instanceref RptCntReadbackDsGen_0__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19807          )
19808         )
19809         (net (rename bRptPeriodCtrlReadbackSyncReset_10_ "bRptPeriodCtrlReadbackSyncReset[10]") (joined
19810          (portref I1 (instanceref bRegPortOutLcl_Data__10__i_4))
19811          (portref Q (instanceref RptCntReadbackDsGen_10__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19812          )
19813         )
19814         (net (rename bRptPeriodCtrlReadbackSyncReset_11_ "bRptPeriodCtrlReadbackSyncReset[11]") (joined
19815          (portref I3 (instanceref bRegPortOutLcl_Data__11__i_3))
19816          (portref Q (instanceref RptCntReadbackDsGen_11__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19817          )
19818         )
19819         (net (rename bRptPeriodCtrlReadbackSyncReset_12_ "bRptPeriodCtrlReadbackSyncReset[12]") (joined
19820          (portref I4 (instanceref bRegPortOutLcl_Data__12__i_4))
19821          (portref Q (instanceref RptCntReadbackDsGen_12__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19822          )
19823         )
19824         (net (rename bRptPeriodCtrlReadbackSyncReset_13_ "bRptPeriodCtrlReadbackSyncReset[13]") (joined
19825          (portref I3 (instanceref bRegPortOutLcl_Data__13__i_4))
19826          (portref Q (instanceref RptCntReadbackDsGen_13__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19827          )
19828         )
19829         (net (rename bRptPeriodCtrlReadbackSyncReset_14_ "bRptPeriodCtrlReadbackSyncReset[14]") (joined
19830          (portref I3 (instanceref bRegPortOutLcl_Data__14__i_3))
19831          (portref Q (instanceref RptCntReadbackDsGen_14__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19832          )
19833         )
19834         (net (rename bRptPeriodCtrlReadbackSyncReset_15_ "bRptPeriodCtrlReadbackSyncReset[15]") (joined
19835          (portref I3 (instanceref bRegPortOutLcl_Data__15__i_3))
19836          (portref Q (instanceref RptCntReadbackDsGen_15__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19837          )
19838         )
19839         (net (rename bRptPeriodCtrlReadbackSyncReset_16_ "bRptPeriodCtrlReadbackSyncReset[16]") (joined
19840          (portref I1 (instanceref bRegPortOutLcl_Data__16__i_2))
19841          (portref Q (instanceref RptCntReadbackDsGen_16__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19842          )
19843         )
19844         (net (rename bRptPeriodCtrlReadbackSyncReset_17_ "bRptPeriodCtrlReadbackSyncReset[17]") (joined
19845          (portref I4 (instanceref bRegPortOutLcl_Data__17__i_4))
19846          (portref Q (instanceref RptCntReadbackDsGen_17__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19847          )
19848         )
19849         (net (rename bRptPeriodCtrlReadbackSyncReset_18_ "bRptPeriodCtrlReadbackSyncReset[18]") (joined
19850          (portref I4 (instanceref bRegPortOutLcl_Data__18__i_4))
19851          (portref Q (instanceref RptCntReadbackDsGen_18__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19852          )
19853         )
19854         (net (rename bRptPeriodCtrlReadbackSyncReset_19_ "bRptPeriodCtrlReadbackSyncReset[19]") (joined
19855          (portref I3 (instanceref bRegPortOutLcl_Data__19__i_4))
19856          (portref Q (instanceref RptCntReadbackDsGen_19__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19857          )
19858         )
19859         (net (rename bRptPeriodCtrlReadbackSyncReset_1_ "bRptPeriodCtrlReadbackSyncReset[1]") (joined
19860          (portref I1 (instanceref bRegPortOutLcl_Data__1__i_5))
19861          (portref Q (instanceref RptCntReadbackDsGen_1__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19862          )
19863         )
19864         (net (rename bRptPeriodCtrlReadbackSyncReset_20_ "bRptPeriodCtrlReadbackSyncReset[20]") (joined
19865          (portref I3 (instanceref bRegPortOutLcl_Data__20__i_3))
19866          (portref Q (instanceref RptCntReadbackDsGen_20__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19867          )
19868         )
19869         (net (rename bRptPeriodCtrlReadbackSyncReset_21_ "bRptPeriodCtrlReadbackSyncReset[21]") (joined
19870          (portref I3 (instanceref bRegPortOutLcl_Data__21__i_3))
19871          (portref Q (instanceref RptCntReadbackDsGen_21__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19872          )
19873         )
19874         (net (rename bRptPeriodCtrlReadbackSyncReset_22_ "bRptPeriodCtrlReadbackSyncReset[22]") (joined
19875          (portref I3 (instanceref bRegPortOutLcl_Data__22__i_3))
19876          (portref Q (instanceref RptCntReadbackDsGen_22__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19877          )
19878         )
19879         (net (rename bRptPeriodCtrlReadbackSyncReset_23_ "bRptPeriodCtrlReadbackSyncReset[23]") (joined
19880          (portref I3 (instanceref bRegPortOutLcl_Data__23__i_3))
19881          (portref Q (instanceref RptCntReadbackDsGen_23__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19882          )
19883         )
19884         (net (rename bRptPeriodCtrlReadbackSyncReset_24_ "bRptPeriodCtrlReadbackSyncReset[24]") (joined
19885          (portref I3 (instanceref bRegPortOutLcl_Data__24__i_4))
19886          (portref Q (instanceref RptCntReadbackDsGen_24__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19887          )
19888         )
19889         (net (rename bRptPeriodCtrlReadbackSyncReset_25_ "bRptPeriodCtrlReadbackSyncReset[25]") (joined
19890          (portref I3 (instanceref bRegPortOutLcl_Data__25__i_5))
19891          (portref Q (instanceref RptCntReadbackDsGen_25__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19892          )
19893         )
19894         (net (rename bRptPeriodCtrlReadbackSyncReset_26_ "bRptPeriodCtrlReadbackSyncReset[26]") (joined
19895          (portref I4 (instanceref bRegPortOutLcl_Data__26__i_5))
19896          (portref Q (instanceref RptCntReadbackDsGen_26__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19897          )
19898         )
19899         (net (rename bRptPeriodCtrlReadbackSyncReset_27_ "bRptPeriodCtrlReadbackSyncReset[27]") (joined
19900          (portref I4 (instanceref bRegPortOutLcl_Data__27__i_6))
19901          (portref Q (instanceref RptCntReadbackDsGen_27__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19902          )
19903         )
19904         (net (rename bRptPeriodCtrlReadbackSyncReset_28_ "bRptPeriodCtrlReadbackSyncReset[28]") (joined
19905          (portref I1 (instanceref bRegPortOutLcl_Data__28__i_6))
19906          (portref Q (instanceref RptCntReadbackDsGen_28__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19907          )
19908         )
19909         (net (rename bRptPeriodCtrlReadbackSyncReset_29_ "bRptPeriodCtrlReadbackSyncReset[29]") (joined
19910          (portref I3 (instanceref bRegPortOutLcl_Data__29__i_3))
19911          (portref Q (instanceref RptCntReadbackDsGen_29__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19912          )
19913         )
19914         (net (rename bRptPeriodCtrlReadbackSyncReset_2_ "bRptPeriodCtrlReadbackSyncReset[2]") (joined
19915          (portref I1 (instanceref bRegPortOutLcl_Data__2__i_3))
19916          (portref Q (instanceref RptCntReadbackDsGen_2__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19917          )
19918         )
19919         (net (rename bRptPeriodCtrlReadbackSyncReset_30_ "bRptPeriodCtrlReadbackSyncReset[30]") (joined
19920          (portref I3 (instanceref bRegPortOutLcl_Data__30__i_3))
19921          (portref Q (instanceref RptCntReadbackDsGen_30__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19922          )
19923         )
19924         (net (rename bRptPeriodCtrlReadbackSyncReset_31_ "bRptPeriodCtrlReadbackSyncReset[31]") (joined
19925          (portref I3 (instanceref bRegPortOutLcl_Data__31__i_3))
19926          (portref Q (instanceref RptCntReadbackDsGen_31__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19927          )
19928         )
19929         (net (rename bRptPeriodCtrlReadbackSyncReset_3_ "bRptPeriodCtrlReadbackSyncReset[3]") (joined
19930          (portref I1 (instanceref bRegPortOutLcl_Data__3__i_5))
19931          (portref Q (instanceref RptCntReadbackDsGen_3__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19932          )
19933         )
19934         (net (rename bRptPeriodCtrlReadbackSyncReset_4_ "bRptPeriodCtrlReadbackSyncReset[4]") (joined
19935          (portref I1 (instanceref bRegPortOutLcl_Data__4__i_3))
19936          (portref Q (instanceref RptCntReadbackDsGen_4__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19937          )
19938         )
19939         (net (rename bRptPeriodCtrlReadbackSyncReset_5_ "bRptPeriodCtrlReadbackSyncReset[5]") (joined
19940          (portref I1 (instanceref bRegPortOutLcl_Data__5__i_5))
19941          (portref Q (instanceref RptCntReadbackDsGen_5__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19942          )
19943         )
19944         (net (rename bRptPeriodCtrlReadbackSyncReset_6_ "bRptPeriodCtrlReadbackSyncReset[6]") (joined
19945          (portref I1 (instanceref bRegPortOutLcl_Data__6__i_3))
19946          (portref Q (instanceref RptCntReadbackDsGen_6__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19947          )
19948         )
19949         (net (rename bRptPeriodCtrlReadbackSyncReset_7_ "bRptPeriodCtrlReadbackSyncReset[7]") (joined
19950          (portref I1 (instanceref bRegPortOutLcl_Data__7__i_5))
19951          (portref Q (instanceref RptCntReadbackDsGen_7__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19952          )
19953         )
19954         (net (rename bRptPeriodCtrlReadbackSyncReset_8_ "bRptPeriodCtrlReadbackSyncReset[8]") (joined
19955          (portref I1 (instanceref bRegPortOutLcl_Data__8__i_5))
19956          (portref Q (instanceref RptCntReadbackDsGen_8__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19957          )
19958         )
19959         (net (rename bRptPeriodCtrlReadbackSyncReset_9_ "bRptPeriodCtrlReadbackSyncReset[9]") (joined
19960          (portref I1 (instanceref bRegPortOutLcl_Data__9__i_2))
19961          (portref Q (instanceref RptCntReadbackDsGen_9__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
19962          )
19963         )
19964         (net (rename bRptPeriodCtrlReadback_0_ "bRptPeriodCtrlReadback[0]") (joined
19965          (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
19966          (portref D (instanceref RptCntReadbackDsGen_0__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
19967          (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_0_))
19968          )
19969         )
19970         (net (rename bRptPeriodCtrlReadback_10_ "bRptPeriodCtrlReadback[10]") (joined
19971          (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
19972          (portref D (instanceref RptCntReadbackDsGen_10__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
19973          (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_10_))
19974          )
19975         )
19976         (net (rename bRptPeriodCtrlReadback_11_ "bRptPeriodCtrlReadback[11]") (joined
19977          (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
19978          (portref D (instanceref RptCntReadbackDsGen_11__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
19979          (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_11_))
19980          )
19981         )
19982         (net (rename bRptPeriodCtrlReadback_12_ "bRptPeriodCtrlReadback[12]") (joined
19983          (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
19984          (portref D (instanceref RptCntReadbackDsGen_12__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
19985          (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_12_))
19986          )
19987         )
19988         (net (rename bRptPeriodCtrlReadback_13_ "bRptPeriodCtrlReadback[13]") (joined
19989          (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
19990          (portref D (instanceref RptCntReadbackDsGen_13__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
19991          (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_13_))
19992          )
19993         )
19994         (net (rename bRptPeriodCtrlReadback_14_ "bRptPeriodCtrlReadback[14]") (joined
19995          (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
19996          (portref D (instanceref RptCntReadbackDsGen_14__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
19997          (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_14_))
19998          )
19999         )
20000         (net (rename bRptPeriodCtrlReadback_15_ "bRptPeriodCtrlReadback[15]") (joined
20001          (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
20002          (portref D (instanceref RptCntReadbackDsGen_15__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
20003          (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_15_))
20004          )
20005         )
20006         (net (rename bRptPeriodCtrlReadback_16_ "bRptPeriodCtrlReadback[16]") (joined
20007          (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
20008          (portref D (instanceref RptCntReadbackDsGen_16__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
20009          (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_16_))
20010          )
20011         )
20012         (net (rename bRptPeriodCtrlReadback_17_ "bRptPeriodCtrlReadback[17]") (joined
20013          (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
20014          (portref D (instanceref RptCntReadbackDsGen_17__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
20015          (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_17_))
20016          )
20017         )
20018         (net (rename bRptPeriodCtrlReadback_18_ "bRptPeriodCtrlReadback[18]") (joined
20019          (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
20020          (portref D (instanceref RptCntReadbackDsGen_18__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
20021          (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_18_))
20022          )
20023         )
20024         (net (rename bRptPeriodCtrlReadback_19_ "bRptPeriodCtrlReadback[19]") (joined
20025          (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
20026          (portref D (instanceref RptCntReadbackDsGen_19__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
20027          (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_19_))
20028          )
20029         )
20030         (net (rename bRptPeriodCtrlReadback_1_ "bRptPeriodCtrlReadback[1]") (joined
20031          (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
20032          (portref D (instanceref RptCntReadbackDsGen_1__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
20033          (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_1_))
20034          )
20035         )
20036         (net (rename bRptPeriodCtrlReadback_20_ "bRptPeriodCtrlReadback[20]") (joined
20037          (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
20038          (portref D (instanceref RptCntReadbackDsGen_20__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
20039          (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_20_))
20040          )
20041         )
20042         (net (rename bRptPeriodCtrlReadback_21_ "bRptPeriodCtrlReadback[21]") (joined
20043          (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
20044          (portref D (instanceref RptCntReadbackDsGen_21__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
20045          (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_21_))
20046          )
20047         )
20048         (net (rename bRptPeriodCtrlReadback_22_ "bRptPeriodCtrlReadback[22]") (joined
20049          (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
20050          (portref D (instanceref RptCntReadbackDsGen_22__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
20051          (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_22_))
20052          )
20053         )
20054         (net (rename bRptPeriodCtrlReadback_23_ "bRptPeriodCtrlReadback[23]") (joined
20055          (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
20056          (portref D (instanceref RptCntReadbackDsGen_23__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
20057          (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_23_))
20058          )
20059         )
20060         (net (rename bRptPeriodCtrlReadback_24_ "bRptPeriodCtrlReadback[24]") (joined
20061          (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
20062          (portref D (instanceref RptCntReadbackDsGen_24__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
20063          (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_24_))
20064          )
20065         )
20066         (net (rename bRptPeriodCtrlReadback_25_ "bRptPeriodCtrlReadback[25]") (joined
20067          (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
20068          (portref D (instanceref RptCntReadbackDsGen_25__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
20069          (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_25_))
20070          )
20071         )
20072         (net (rename bRptPeriodCtrlReadback_26_ "bRptPeriodCtrlReadback[26]") (joined
20073          (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
20074          (portref D (instanceref RptCntReadbackDsGen_26__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
20075          (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_26_))
20076          )
20077         )
20078         (net (rename bRptPeriodCtrlReadback_27_ "bRptPeriodCtrlReadback[27]") (joined
20079          (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
20080          (portref D (instanceref RptCntReadbackDsGen_27__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
20081          (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_27_))
20082          )
20083         )
20084         (net (rename bRptPeriodCtrlReadback_28_ "bRptPeriodCtrlReadback[28]") (joined
20085          (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
20086          (portref D (instanceref RptCntReadbackDsGen_28__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
20087          (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_28_))
20088          )
20089         )
20090         (net (rename bRptPeriodCtrlReadback_29_ "bRptPeriodCtrlReadback[29]") (joined
20091          (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
20092          (portref D (instanceref RptCntReadbackDsGen_29__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
20093          (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_29_))
20094          )
20095         )
20096         (net (rename bRptPeriodCtrlReadback_2_ "bRptPeriodCtrlReadback[2]") (joined
20097          (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
20098          (portref D (instanceref RptCntReadbackDsGen_2__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
20099          (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_2_))
20100          )
20101         )
20102         (net (rename bRptPeriodCtrlReadback_30_ "bRptPeriodCtrlReadback[30]") (joined
20103          (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
20104          (portref D (instanceref RptCntReadbackDsGen_30__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
20105          (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_30_))
20106          )
20107         )
20108         (net (rename bRptPeriodCtrlReadback_31_ "bRptPeriodCtrlReadback[31]") (joined
20109          (portref D (instanceref RptCntReadbackDsGen_31__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
20110          (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_31_))
20111          )
20112         )
20113         (net (rename bRptPeriodCtrlReadback_3_ "bRptPeriodCtrlReadback[3]") (joined
20114          (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
20115          (portref D (instanceref RptCntReadbackDsGen_3__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
20116          (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_3_))
20117          )
20118         )
20119         (net (rename bRptPeriodCtrlReadback_4_ "bRptPeriodCtrlReadback[4]") (joined
20120          (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
20121          (portref D (instanceref RptCntReadbackDsGen_4__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
20122          (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_4_))
20123          )
20124         )
20125         (net (rename bRptPeriodCtrlReadback_5_ "bRptPeriodCtrlReadback[5]") (joined
20126          (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
20127          (portref D (instanceref RptCntReadbackDsGen_5__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
20128          (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_5_))
20129          )
20130         )
20131         (net (rename bRptPeriodCtrlReadback_6_ "bRptPeriodCtrlReadback[6]") (joined
20132          (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
20133          (portref D (instanceref RptCntReadbackDsGen_6__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
20134          (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_6_))
20135          )
20136         )
20137         (net (rename bRptPeriodCtrlReadback_7_ "bRptPeriodCtrlReadback[7]") (joined
20138          (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
20139          (portref D (instanceref RptCntReadbackDsGen_7__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
20140          (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_7_))
20141          )
20142         )
20143         (net (rename bRptPeriodCtrlReadback_8_ "bRptPeriodCtrlReadback[8]") (joined
20144          (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
20145          (portref D (instanceref RptCntReadbackDsGen_8__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
20146          (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_8_))
20147          )
20148         )
20149         (net (rename bRptPeriodCtrlReadback_9_ "bRptPeriodCtrlReadback[9]") (joined
20150          (portref D (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
20151          (portref D (instanceref RptCntReadbackDsGen_9__RptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
20152          (portref Q (instanceref RptCntHs_BlkIn_iLclStoredData_reg_9_))
20153          )
20154         )
20155         (net (rename bScratch_0_ "bScratch[0]") (joined
20156          (portref I2 (instanceref bRegPortOutLcl_Data__0__i_6))
20157          (portref Q (instanceref bScratch_reg_0_))
20158          )
20159         )
20160         (net (rename bScratch_10_ "bScratch[10]") (joined
20161          (portref I5 (instanceref bRegPortOutLcl_Data__10__i_3))
20162          (portref Q (instanceref bScratch_reg_10_))
20163          )
20164         )
20165         (net (rename bScratch_11_ "bScratch[11]") (joined
20166          (portref I4 (instanceref bRegPortOutLcl_Data__11__i_4))
20167          (portref Q (instanceref bScratch_reg_11_))
20168          )
20169         )
20170         (net (rename bScratch_12_ "bScratch[12]") (joined
20171          (portref I1 (instanceref bRegPortOutLcl_Data__12__i_1))
20172          (portref Q (instanceref bScratch_reg_12_))
20173          )
20174         )
20175         (net (rename bScratch_13_ "bScratch[13]") (joined
20176          (portref I4 (instanceref bRegPortOutLcl_Data__13__i_2))
20177          (portref Q (instanceref bScratch_reg_13_))
20178          )
20179         )
20180         (net (rename bScratch_14_ "bScratch[14]") (joined
20181          (portref I4 (instanceref bRegPortOutLcl_Data__14__i_4))
20182          (portref Q (instanceref bScratch_reg_14_))
20183          )
20184         )
20185         (net (rename bScratch_15_ "bScratch[15]") (joined
20186          (portref I4 (instanceref bRegPortOutLcl_Data__15__i_4))
20187          (portref Q (instanceref bScratch_reg_15_))
20188          )
20189         )
20190         (net (rename bScratch_16_ "bScratch[16]") (joined
20191          (portref I4 (instanceref bRegPortOutLcl_Data__16__i_4))
20192          (portref Q (instanceref bScratch_reg_16_))
20193          )
20194         )
20195         (net (rename bScratch_17_ "bScratch[17]") (joined
20196          (portref I1 (instanceref bRegPortOutLcl_Data__17__i_1))
20197          (portref Q (instanceref bScratch_reg_17_))
20198          )
20199         )
20200         (net (rename bScratch_18_ "bScratch[18]") (joined
20201          (portref I2 (instanceref bRegPortOutLcl_Data__18__i_1))
20202          (portref Q (instanceref bScratch_reg_18_))
20203          )
20204         )
20205         (net (rename bScratch_19_ "bScratch[19]") (joined
20206          (portref I4 (instanceref bRegPortOutLcl_Data__19__i_2))
20207          (portref Q (instanceref bScratch_reg_19_))
20208          )
20209         )
20210         (net (rename bScratch_1_ "bScratch[1]") (joined
20211          (portref I4 (instanceref bRegPortOutLcl_Data__1__i_2))
20212          (portref Q (instanceref bScratch_reg_1_))
20213          )
20214         )
20215         (net (rename bScratch_20_ "bScratch[20]") (joined
20216          (portref I4 (instanceref bRegPortOutLcl_Data__20__i_4))
20217          (portref Q (instanceref bScratch_reg_20_))
20218          )
20219         )
20220         (net (rename bScratch_21_ "bScratch[21]") (joined
20221          (portref I4 (instanceref bRegPortOutLcl_Data__21__i_4))
20222          (portref Q (instanceref bScratch_reg_21_))
20223          )
20224         )
20225         (net (rename bScratch_22_ "bScratch[22]") (joined
20226          (portref I4 (instanceref bRegPortOutLcl_Data__22__i_4))
20227          (portref Q (instanceref bScratch_reg_22_))
20228          )
20229         )
20230         (net (rename bScratch_23_ "bScratch[23]") (joined
20231          (portref I4 (instanceref bRegPortOutLcl_Data__23__i_4))
20232          (portref Q (instanceref bScratch_reg_23_))
20233          )
20234         )
20235         (net (rename bScratch_24_ "bScratch[24]") (joined
20236          (portref I4 (instanceref bRegPortOutLcl_Data__24__i_2))
20237          (portref Q (instanceref bScratch_reg_24_))
20238          )
20239         )
20240         (net (rename bScratch_25_ "bScratch[25]") (joined
20241          (portref I4 (instanceref bRegPortOutLcl_Data__25__i_2))
20242          (portref Q (instanceref bScratch_reg_25_))
20243          )
20244         )
20245         (net (rename bScratch_26_ "bScratch[26]") (joined
20246          (portref I2 (instanceref bRegPortOutLcl_Data__26__i_1))
20247          (portref Q (instanceref bScratch_reg_26_))
20248          )
20249         )
20250         (net (rename bScratch_27_ "bScratch[27]") (joined
20251          (portref I1 (instanceref bRegPortOutLcl_Data__27__i_1))
20252          (portref Q (instanceref bScratch_reg_27_))
20253          )
20254         )
20255         (net (rename bScratch_28_ "bScratch[28]") (joined
20256          (portref I5 (instanceref bRegPortOutLcl_Data__28__i_3))
20257          (portref Q (instanceref bScratch_reg_28_))
20258          )
20259         )
20260         (net (rename bScratch_29_ "bScratch[29]") (joined
20261          (portref I4 (instanceref bRegPortOutLcl_Data__29__i_4))
20262          (portref Q (instanceref bScratch_reg_29_))
20263          )
20264         )
20265         (net (rename bScratch_2_ "bScratch[2]") (joined
20266          (portref I3 (instanceref bRegPortOutLcl_Data__2__i_1))
20267          (portref Q (instanceref bScratch_reg_2_))
20268          )
20269         )
20270         (net (rename bScratch_30_ "bScratch[30]") (joined
20271          (portref I4 (instanceref bRegPortOutLcl_Data__30__i_5))
20272          (portref Q (instanceref bScratch_reg_30_))
20273          )
20274         )
20275         (net (rename bScratch_31_ "bScratch[31]") (joined
20276          (portref I4 (instanceref bRegPortOutLcl_Data__31__i_4))
20277          (portref Q (instanceref bScratch_reg_31_))
20278          )
20279         )
20280         (net (rename bScratch_31__i_1_n_0 "bScratch[31]_i_1_n_0") (joined
20281          (portref CE (instanceref bScratch_reg_0_))
20282          (portref CE (instanceref bScratch_reg_10_))
20283          (portref CE (instanceref bScratch_reg_11_))
20284          (portref CE (instanceref bScratch_reg_12_))
20285          (portref CE (instanceref bScratch_reg_13_))
20286          (portref CE (instanceref bScratch_reg_14_))
20287          (portref CE (instanceref bScratch_reg_15_))
20288          (portref CE (instanceref bScratch_reg_16_))
20289          (portref CE (instanceref bScratch_reg_17_))
20290          (portref CE (instanceref bScratch_reg_18_))
20291          (portref CE (instanceref bScratch_reg_19_))
20292          (portref CE (instanceref bScratch_reg_1_))
20293          (portref CE (instanceref bScratch_reg_20_))
20294          (portref CE (instanceref bScratch_reg_21_))
20295          (portref CE (instanceref bScratch_reg_22_))
20296          (portref CE (instanceref bScratch_reg_23_))
20297          (portref CE (instanceref bScratch_reg_24_))
20298          (portref CE (instanceref bScratch_reg_25_))
20299          (portref CE (instanceref bScratch_reg_26_))
20300          (portref CE (instanceref bScratch_reg_27_))
20301          (portref CE (instanceref bScratch_reg_28_))
20302          (portref CE (instanceref bScratch_reg_29_))
20303          (portref CE (instanceref bScratch_reg_2_))
20304          (portref CE (instanceref bScratch_reg_30_))
20305          (portref CE (instanceref bScratch_reg_31_))
20306          (portref CE (instanceref bScratch_reg_3_))
20307          (portref CE (instanceref bScratch_reg_4_))
20308          (portref CE (instanceref bScratch_reg_5_))
20309          (portref CE (instanceref bScratch_reg_6_))
20310          (portref CE (instanceref bScratch_reg_7_))
20311          (portref CE (instanceref bScratch_reg_8_))
20312          (portref CE (instanceref bScratch_reg_9_))
20313          (portref O (instanceref bScratch_31__i_1))
20314          )
20315         )
20316         (net (rename bScratch_31__i_3_n_0 "bScratch[31]_i_3_n_0") (joined
20317          (portref I0 (instanceref Gen0_FDCEx_i_3__0))
20318          (portref I0 (instanceref Gen0_FDCEx_i_3__1))
20319          (portref I0 (instanceref bScratch_31__i_1))
20320          (portref I3 (instanceref Gen0_FDCEx_i_3))
20321          (portref I3 (instanceref bPpsClkCrossDelayVal_3__i_3))
20322          (portref O (instanceref bScratch_31__i_3))
20323          )
20324         )
20325         (net (rename bScratch_31__i_4_n_0 "bScratch[31]_i_4_n_0") (joined
20326          (portref I2 (instanceref bScratch_31__i_1))
20327          (portref I5 (instanceref bRegPortOutLcl_Data__16__i_4))
20328          (portref I5 (instanceref bRegPortOutLcl_Data__26__i_3))
20329          (portref I5 (instanceref bRegPortOutLcl_Data__28__i_5))
20330          (portref I5 (instanceref bRegPortOutLcl_Data__31__i_5))
20331          (portref O (instanceref bScratch_31__i_4))
20332          )
20333         )
20334         (net (rename bScratch_31__i_5_n_0 "bScratch[31]_i_5_n_0") (joined
20335          (portref I5 (instanceref bScratch_31__i_1))
20336          (portref O (instanceref bScratch_31__i_5))
20337          )
20338         )
20339         (net (rename bScratch_3_ "bScratch[3]") (joined
20340          (portref I0 (instanceref bRegPortOutLcl_Data__3__i_4))
20341          (portref Q (instanceref bScratch_reg_3_))
20342          )
20343         )
20344         (net (rename bScratch_4_ "bScratch[4]") (joined
20345          (portref I0 (instanceref bRegPortOutLcl_Data__4__i_7))
20346          (portref Q (instanceref bScratch_reg_4_))
20347          )
20348         )
20349         (net (rename bScratch_5_ "bScratch[5]") (joined
20350          (portref I4 (instanceref bRegPortOutLcl_Data__5__i_2))
20351          (portref Q (instanceref bScratch_reg_5_))
20352          )
20353         )
20354         (net (rename bScratch_6_ "bScratch[6]") (joined
20355          (portref I3 (instanceref bRegPortOutLcl_Data__6__i_1))
20356          (portref Q (instanceref bScratch_reg_6_))
20357          )
20358         )
20359         (net (rename bScratch_7_ "bScratch[7]") (joined
20360          (portref I0 (instanceref bRegPortOutLcl_Data__7__i_4))
20361          (portref Q (instanceref bScratch_reg_7_))
20362          )
20363         )
20364         (net (rename bScratch_8_ "bScratch[8]") (joined
20365          (portref I0 (instanceref bRegPortOutLcl_Data__8__i_4))
20366          (portref Q (instanceref bScratch_reg_8_))
20367          )
20368         )
20369         (net (rename bScratch_9_ "bScratch[9]") (joined
20370          (portref I5 (instanceref bRegPortOutLcl_Data__9__i_4))
20371          (portref Q (instanceref bScratch_reg_9_))
20372          )
20373         )
20374         (net bSpOffsetStored (joined
20375          (portref CE (instanceref bRpOffsetStored_reg_0_))
20376          (portref CE (instanceref bRpOffsetStored_reg_10_))
20377          (portref CE (instanceref bRpOffsetStored_reg_11_))
20378          (portref CE (instanceref bRpOffsetStored_reg_12_))
20379          (portref CE (instanceref bRpOffsetStored_reg_13_))
20380          (portref CE (instanceref bRpOffsetStored_reg_14_))
20381          (portref CE (instanceref bRpOffsetStored_reg_15_))
20382          (portref CE (instanceref bRpOffsetStored_reg_16_))
20383          (portref CE (instanceref bRpOffsetStored_reg_17_))
20384          (portref CE (instanceref bRpOffsetStored_reg_18_))
20385          (portref CE (instanceref bRpOffsetStored_reg_19_))
20386          (portref CE (instanceref bRpOffsetStored_reg_1_))
20387          (portref CE (instanceref bRpOffsetStored_reg_20_))
20388          (portref CE (instanceref bRpOffsetStored_reg_21_))
20389          (portref CE (instanceref bRpOffsetStored_reg_22_))
20390          (portref CE (instanceref bRpOffsetStored_reg_23_))
20391          (portref CE (instanceref bRpOffsetStored_reg_24_))
20392          (portref CE (instanceref bRpOffsetStored_reg_25_))
20393          (portref CE (instanceref bRpOffsetStored_reg_26_))
20394          (portref CE (instanceref bRpOffsetStored_reg_27_))
20395          (portref CE (instanceref bRpOffsetStored_reg_28_))
20396          (portref CE (instanceref bRpOffsetStored_reg_29_))
20397          (portref CE (instanceref bRpOffsetStored_reg_2_))
20398          (portref CE (instanceref bRpOffsetStored_reg_30_))
20399          (portref CE (instanceref bRpOffsetStored_reg_31_))
20400          (portref CE (instanceref bRpOffsetStored_reg_32_))
20401          (portref CE (instanceref bRpOffsetStored_reg_33_))
20402          (portref CE (instanceref bRpOffsetStored_reg_34_))
20403          (portref CE (instanceref bRpOffsetStored_reg_35_))
20404          (portref CE (instanceref bRpOffsetStored_reg_36_))
20405          (portref CE (instanceref bRpOffsetStored_reg_37_))
20406          (portref CE (instanceref bRpOffsetStored_reg_38_))
20407          (portref CE (instanceref bRpOffsetStored_reg_39_))
20408          (portref CE (instanceref bRpOffsetStored_reg_3_))
20409          (portref CE (instanceref bRpOffsetStored_reg_4_))
20410          (portref CE (instanceref bRpOffsetStored_reg_5_))
20411          (portref CE (instanceref bRpOffsetStored_reg_6_))
20412          (portref CE (instanceref bRpOffsetStored_reg_7_))
20413          (portref CE (instanceref bRpOffsetStored_reg_8_))
20414          (portref CE (instanceref bRpOffsetStored_reg_9_))
20415          (portref CE (instanceref bSpOffsetStored_reg_0_))
20416          (portref CE (instanceref bSpOffsetStored_reg_10_))
20417          (portref CE (instanceref bSpOffsetStored_reg_11_))
20418          (portref CE (instanceref bSpOffsetStored_reg_12_))
20419          (portref CE (instanceref bSpOffsetStored_reg_13_))
20420          (portref CE (instanceref bSpOffsetStored_reg_14_))
20421          (portref CE (instanceref bSpOffsetStored_reg_15_))
20422          (portref CE (instanceref bSpOffsetStored_reg_16_))
20423          (portref CE (instanceref bSpOffsetStored_reg_17_))
20424          (portref CE (instanceref bSpOffsetStored_reg_18_))
20425          (portref CE (instanceref bSpOffsetStored_reg_19_))
20426          (portref CE (instanceref bSpOffsetStored_reg_1_))
20427          (portref CE (instanceref bSpOffsetStored_reg_20_))
20428          (portref CE (instanceref bSpOffsetStored_reg_21_))
20429          (portref CE (instanceref bSpOffsetStored_reg_22_))
20430          (portref CE (instanceref bSpOffsetStored_reg_23_))
20431          (portref CE (instanceref bSpOffsetStored_reg_24_))
20432          (portref CE (instanceref bSpOffsetStored_reg_25_))
20433          (portref CE (instanceref bSpOffsetStored_reg_26_))
20434          (portref CE (instanceref bSpOffsetStored_reg_27_))
20435          (portref CE (instanceref bSpOffsetStored_reg_28_))
20436          (portref CE (instanceref bSpOffsetStored_reg_29_))
20437          (portref CE (instanceref bSpOffsetStored_reg_2_))
20438          (portref CE (instanceref bSpOffsetStored_reg_30_))
20439          (portref CE (instanceref bSpOffsetStored_reg_31_))
20440          (portref CE (instanceref bSpOffsetStored_reg_3_))
20441          (portref CE (instanceref bSpOffsetStored_reg_4_))
20442          (portref CE (instanceref bSpOffsetStored_reg_5_))
20443          (portref CE (instanceref bSpOffsetStored_reg_6_))
20444          (portref CE (instanceref bSpOffsetStored_reg_7_))
20445          (portref CE (instanceref bSpOffsetStored_reg_8_))
20446          (portref CE (instanceref bSpOffsetStored_reg_9_))
20447          (portref I1 (instanceref bOffsetUpdated_i_1))
20448          (portref O (instanceref bRpOffsetStored_39__i_1))
20449          )
20450         )
20451         (net (rename bSpOffsetStored_0__i_1_n_0 "bSpOffsetStored[0]_i_1_n_0") (joined
20452          (portref D (instanceref bSpOffsetStored_reg_0_))
20453          (portref O (instanceref bSpOffsetStored_0__i_1))
20454          )
20455         )
20456         (net (rename bSpOffsetStored_10__i_1_n_0 "bSpOffsetStored[10]_i_1_n_0") (joined
20457          (portref D (instanceref bSpOffsetStored_reg_10_))
20458          (portref O (instanceref bSpOffsetStored_10__i_1))
20459          )
20460         )
20461         (net (rename bSpOffsetStored_11__i_1_n_0 "bSpOffsetStored[11]_i_1_n_0") (joined
20462          (portref D (instanceref bSpOffsetStored_reg_11_))
20463          (portref O (instanceref bSpOffsetStored_11__i_1))
20464          )
20465         )
20466         (net (rename bSpOffsetStored_12__i_1_n_0 "bSpOffsetStored[12]_i_1_n_0") (joined
20467          (portref D (instanceref bSpOffsetStored_reg_12_))
20468          (portref O (instanceref bSpOffsetStored_12__i_1))
20469          )
20470         )
20471         (net (rename bSpOffsetStored_13__i_1_n_0 "bSpOffsetStored[13]_i_1_n_0") (joined
20472          (portref D (instanceref bSpOffsetStored_reg_13_))
20473          (portref O (instanceref bSpOffsetStored_13__i_1))
20474          )
20475         )
20476         (net (rename bSpOffsetStored_14__i_1_n_0 "bSpOffsetStored[14]_i_1_n_0") (joined
20477          (portref D (instanceref bSpOffsetStored_reg_14_))
20478          (portref O (instanceref bSpOffsetStored_14__i_1))
20479          )
20480         )
20481         (net (rename bSpOffsetStored_15__i_1_n_0 "bSpOffsetStored[15]_i_1_n_0") (joined
20482          (portref D (instanceref bSpOffsetStored_reg_15_))
20483          (portref O (instanceref bSpOffsetStored_15__i_1))
20484          )
20485         )
20486         (net (rename bSpOffsetStored_16__i_1_n_0 "bSpOffsetStored[16]_i_1_n_0") (joined
20487          (portref D (instanceref bSpOffsetStored_reg_16_))
20488          (portref O (instanceref bSpOffsetStored_16__i_1))
20489          )
20490         )
20491         (net (rename bSpOffsetStored_17__i_1_n_0 "bSpOffsetStored[17]_i_1_n_0") (joined
20492          (portref D (instanceref bSpOffsetStored_reg_17_))
20493          (portref O (instanceref bSpOffsetStored_17__i_1))
20494          )
20495         )
20496         (net (rename bSpOffsetStored_18__i_1_n_0 "bSpOffsetStored[18]_i_1_n_0") (joined
20497          (portref D (instanceref bSpOffsetStored_reg_18_))
20498          (portref O (instanceref bSpOffsetStored_18__i_1))
20499          )
20500         )
20501         (net (rename bSpOffsetStored_19__i_1_n_0 "bSpOffsetStored[19]_i_1_n_0") (joined
20502          (portref D (instanceref bSpOffsetStored_reg_19_))
20503          (portref O (instanceref bSpOffsetStored_19__i_1))
20504          )
20505         )
20506         (net (rename bSpOffsetStored_1__i_1_n_0 "bSpOffsetStored[1]_i_1_n_0") (joined
20507          (portref D (instanceref bSpOffsetStored_reg_1_))
20508          (portref O (instanceref bSpOffsetStored_1__i_1))
20509          )
20510         )
20511         (net (rename bSpOffsetStored_20__i_1_n_0 "bSpOffsetStored[20]_i_1_n_0") (joined
20512          (portref D (instanceref bSpOffsetStored_reg_20_))
20513          (portref O (instanceref bSpOffsetStored_20__i_1))
20514          )
20515         )
20516         (net (rename bSpOffsetStored_21__i_1_n_0 "bSpOffsetStored[21]_i_1_n_0") (joined
20517          (portref D (instanceref bSpOffsetStored_reg_21_))
20518          (portref O (instanceref bSpOffsetStored_21__i_1))
20519          )
20520         )
20521         (net (rename bSpOffsetStored_22__i_1_n_0 "bSpOffsetStored[22]_i_1_n_0") (joined
20522          (portref D (instanceref bSpOffsetStored_reg_22_))
20523          (portref O (instanceref bSpOffsetStored_22__i_1))
20524          )
20525         )
20526         (net (rename bSpOffsetStored_23__i_1_n_0 "bSpOffsetStored[23]_i_1_n_0") (joined
20527          (portref D (instanceref bSpOffsetStored_reg_23_))
20528          (portref O (instanceref bSpOffsetStored_23__i_1))
20529          )
20530         )
20531         (net (rename bSpOffsetStored_24__i_1_n_0 "bSpOffsetStored[24]_i_1_n_0") (joined
20532          (portref D (instanceref bSpOffsetStored_reg_24_))
20533          (portref O (instanceref bSpOffsetStored_24__i_1))
20534          )
20535         )
20536         (net (rename bSpOffsetStored_25__i_1_n_0 "bSpOffsetStored[25]_i_1_n_0") (joined
20537          (portref D (instanceref bSpOffsetStored_reg_25_))
20538          (portref O (instanceref bSpOffsetStored_25__i_1))
20539          )
20540         )
20541         (net (rename bSpOffsetStored_26__i_1_n_0 "bSpOffsetStored[26]_i_1_n_0") (joined
20542          (portref D (instanceref bSpOffsetStored_reg_26_))
20543          (portref O (instanceref bSpOffsetStored_26__i_1))
20544          )
20545         )
20546         (net (rename bSpOffsetStored_27__i_1_n_0 "bSpOffsetStored[27]_i_1_n_0") (joined
20547          (portref D (instanceref bSpOffsetStored_reg_27_))
20548          (portref O (instanceref bSpOffsetStored_27__i_1))
20549          )
20550         )
20551         (net (rename bSpOffsetStored_28__i_1_n_0 "bSpOffsetStored[28]_i_1_n_0") (joined
20552          (portref D (instanceref bSpOffsetStored_reg_28_))
20553          (portref O (instanceref bSpOffsetStored_28__i_1))
20554          )
20555         )
20556         (net (rename bSpOffsetStored_29__i_1_n_0 "bSpOffsetStored[29]_i_1_n_0") (joined
20557          (portref D (instanceref bSpOffsetStored_reg_29_))
20558          (portref O (instanceref bSpOffsetStored_29__i_1))
20559          )
20560         )
20561         (net (rename bSpOffsetStored_2__i_1_n_0 "bSpOffsetStored[2]_i_1_n_0") (joined
20562          (portref D (instanceref bSpOffsetStored_reg_2_))
20563          (portref O (instanceref bSpOffsetStored_2__i_1))
20564          )
20565         )
20566         (net (rename bSpOffsetStored_30__i_1_n_0 "bSpOffsetStored[30]_i_1_n_0") (joined
20567          (portref D (instanceref bSpOffsetStored_reg_30_))
20568          (portref O (instanceref bSpOffsetStored_30__i_1))
20569          )
20570         )
20571         (net (rename bSpOffsetStored_31__i_1_n_0 "bSpOffsetStored[31]_i_1_n_0") (joined
20572          (portref D (instanceref bSpOffsetStored_reg_31_))
20573          (portref O (instanceref bSpOffsetStored_31__i_1))
20574          )
20575         )
20576         (net (rename bSpOffsetStored_3__i_1_n_0 "bSpOffsetStored[3]_i_1_n_0") (joined
20577          (portref D (instanceref bSpOffsetStored_reg_3_))
20578          (portref O (instanceref bSpOffsetStored_3__i_1))
20579          )
20580         )
20581         (net (rename bSpOffsetStored_4__i_1_n_0 "bSpOffsetStored[4]_i_1_n_0") (joined
20582          (portref D (instanceref bSpOffsetStored_reg_4_))
20583          (portref O (instanceref bSpOffsetStored_4__i_1))
20584          )
20585         )
20586         (net (rename bSpOffsetStored_5__i_1_n_0 "bSpOffsetStored[5]_i_1_n_0") (joined
20587          (portref D (instanceref bSpOffsetStored_reg_5_))
20588          (portref O (instanceref bSpOffsetStored_5__i_1))
20589          )
20590         )
20591         (net (rename bSpOffsetStored_6__i_1_n_0 "bSpOffsetStored[6]_i_1_n_0") (joined
20592          (portref D (instanceref bSpOffsetStored_reg_6_))
20593          (portref O (instanceref bSpOffsetStored_6__i_1))
20594          )
20595         )
20596         (net (rename bSpOffsetStored_7__i_1_n_0 "bSpOffsetStored[7]_i_1_n_0") (joined
20597          (portref D (instanceref bSpOffsetStored_reg_7_))
20598          (portref O (instanceref bSpOffsetStored_7__i_1))
20599          )
20600         )
20601         (net (rename bSpOffsetStored_8__i_1_n_0 "bSpOffsetStored[8]_i_1_n_0") (joined
20602          (portref D (instanceref bSpOffsetStored_reg_8_))
20603          (portref O (instanceref bSpOffsetStored_8__i_1))
20604          )
20605         )
20606         (net (rename bSpOffsetStored_9__i_1_n_0 "bSpOffsetStored[9]_i_1_n_0") (joined
20607          (portref D (instanceref bSpOffsetStored_reg_9_))
20608          (portref O (instanceref bSpOffsetStored_9__i_1))
20609          )
20610         )
20611         (net (rename bSpOffsetStored_reg_n_0__0_ "bSpOffsetStored_reg_n_0_[0]") (joined
20612          (portref I3 (instanceref bRegPortOutLcl_Data__0__i_4))
20613          (portref Q (instanceref bSpOffsetStored_reg_0_))
20614          )
20615         )
20616         (net (rename bSpOffsetStored_reg_n_0__10_ "bSpOffsetStored_reg_n_0_[10]") (joined
20617          (portref I1 (instanceref bRegPortOutLcl_Data__10__i_2))
20618          (portref Q (instanceref bSpOffsetStored_reg_10_))
20619          )
20620         )
20621         (net (rename bSpOffsetStored_reg_n_0__11_ "bSpOffsetStored_reg_n_0_[11]") (joined
20622          (portref I3 (instanceref bRegPortOutLcl_Data__11__i_2))
20623          (portref Q (instanceref bSpOffsetStored_reg_11_))
20624          )
20625         )
20626         (net (rename bSpOffsetStored_reg_n_0__12_ "bSpOffsetStored_reg_n_0_[12]") (joined
20627          (portref I3 (instanceref bRegPortOutLcl_Data__12__i_3))
20628          (portref Q (instanceref bSpOffsetStored_reg_12_))
20629          )
20630         )
20631         (net (rename bSpOffsetStored_reg_n_0__13_ "bSpOffsetStored_reg_n_0_[13]") (joined
20632          (portref I3 (instanceref bRegPortOutLcl_Data__13__i_3))
20633          (portref Q (instanceref bSpOffsetStored_reg_13_))
20634          )
20635         )
20636         (net (rename bSpOffsetStored_reg_n_0__14_ "bSpOffsetStored_reg_n_0_[14]") (joined
20637          (portref I3 (instanceref bRegPortOutLcl_Data__14__i_2))
20638          (portref Q (instanceref bSpOffsetStored_reg_14_))
20639          )
20640         )
20641         (net (rename bSpOffsetStored_reg_n_0__15_ "bSpOffsetStored_reg_n_0_[15]") (joined
20642          (portref I3 (instanceref bRegPortOutLcl_Data__15__i_2))
20643          (portref Q (instanceref bSpOffsetStored_reg_15_))
20644          )
20645         )
20646         (net (rename bSpOffsetStored_reg_n_0__16_ "bSpOffsetStored_reg_n_0_[16]") (joined
20647          (portref I1 (instanceref bRegPortOutLcl_Data__16__i_5))
20648          (portref Q (instanceref bSpOffsetStored_reg_16_))
20649          )
20650         )
20651         (net (rename bSpOffsetStored_reg_n_0__17_ "bSpOffsetStored_reg_n_0_[17]") (joined
20652          (portref I3 (instanceref bRegPortOutLcl_Data__17__i_3))
20653          (portref Q (instanceref bSpOffsetStored_reg_17_))
20654          )
20655         )
20656         (net (rename bSpOffsetStored_reg_n_0__18_ "bSpOffsetStored_reg_n_0_[18]") (joined
20657          (portref I3 (instanceref bRegPortOutLcl_Data__18__i_3))
20658          (portref Q (instanceref bSpOffsetStored_reg_18_))
20659          )
20660         )
20661         (net (rename bSpOffsetStored_reg_n_0__19_ "bSpOffsetStored_reg_n_0_[19]") (joined
20662          (portref I3 (instanceref bRegPortOutLcl_Data__19__i_3))
20663          (portref Q (instanceref bSpOffsetStored_reg_19_))
20664          )
20665         )
20666         (net (rename bSpOffsetStored_reg_n_0__1_ "bSpOffsetStored_reg_n_0_[1]") (joined
20667          (portref I4 (instanceref bRegPortOutLcl_Data__1__i_4))
20668          (portref Q (instanceref bSpOffsetStored_reg_1_))
20669          )
20670         )
20671         (net (rename bSpOffsetStored_reg_n_0__20_ "bSpOffsetStored_reg_n_0_[20]") (joined
20672          (portref I3 (instanceref bRegPortOutLcl_Data__20__i_2))
20673          (portref Q (instanceref bSpOffsetStored_reg_20_))
20674          )
20675         )
20676         (net (rename bSpOffsetStored_reg_n_0__21_ "bSpOffsetStored_reg_n_0_[21]") (joined
20677          (portref I3 (instanceref bRegPortOutLcl_Data__21__i_2))
20678          (portref Q (instanceref bSpOffsetStored_reg_21_))
20679          )
20680         )
20681         (net (rename bSpOffsetStored_reg_n_0__22_ "bSpOffsetStored_reg_n_0_[22]") (joined
20682          (portref I3 (instanceref bRegPortOutLcl_Data__22__i_2))
20683          (portref Q (instanceref bSpOffsetStored_reg_22_))
20684          )
20685         )
20686         (net (rename bSpOffsetStored_reg_n_0__23_ "bSpOffsetStored_reg_n_0_[23]") (joined
20687          (portref I3 (instanceref bRegPortOutLcl_Data__23__i_2))
20688          (portref Q (instanceref bSpOffsetStored_reg_23_))
20689          )
20690         )
20691         (net (rename bSpOffsetStored_reg_n_0__24_ "bSpOffsetStored_reg_n_0_[24]") (joined
20692          (portref I3 (instanceref bRegPortOutLcl_Data__24__i_3))
20693          (portref Q (instanceref bSpOffsetStored_reg_24_))
20694          )
20695         )
20696         (net (rename bSpOffsetStored_reg_n_0__25_ "bSpOffsetStored_reg_n_0_[25]") (joined
20697          (portref I3 (instanceref bRegPortOutLcl_Data__25__i_4))
20698          (portref Q (instanceref bSpOffsetStored_reg_25_))
20699          )
20700         )
20701         (net (rename bSpOffsetStored_reg_n_0__26_ "bSpOffsetStored_reg_n_0_[26]") (joined
20702          (portref I3 (instanceref bRegPortOutLcl_Data__26__i_4))
20703          (portref Q (instanceref bSpOffsetStored_reg_26_))
20704          )
20705         )
20706         (net (rename bSpOffsetStored_reg_n_0__27_ "bSpOffsetStored_reg_n_0_[27]") (joined
20707          (portref I3 (instanceref bRegPortOutLcl_Data__27__i_5))
20708          (portref Q (instanceref bSpOffsetStored_reg_27_))
20709          )
20710         )
20711         (net (rename bSpOffsetStored_reg_n_0__28_ "bSpOffsetStored_reg_n_0_[28]") (joined
20712          (portref I1 (instanceref bRegPortOutLcl_Data__28__i_2))
20713          (portref Q (instanceref bSpOffsetStored_reg_28_))
20714          )
20715         )
20716         (net (rename bSpOffsetStored_reg_n_0__29_ "bSpOffsetStored_reg_n_0_[29]") (joined
20717          (portref I3 (instanceref bRegPortOutLcl_Data__29__i_2))
20718          (portref Q (instanceref bSpOffsetStored_reg_29_))
20719          )
20720         )
20721         (net (rename bSpOffsetStored_reg_n_0__2_ "bSpOffsetStored_reg_n_0_[2]") (joined
20722          (portref I4 (instanceref bRegPortOutLcl_Data__2__i_5))
20723          (portref Q (instanceref bSpOffsetStored_reg_2_))
20724          )
20725         )
20726         (net (rename bSpOffsetStored_reg_n_0__30_ "bSpOffsetStored_reg_n_0_[30]") (joined
20727          (portref I3 (instanceref bRegPortOutLcl_Data__30__i_2))
20728          (portref Q (instanceref bSpOffsetStored_reg_30_))
20729          )
20730         )
20731         (net (rename bSpOffsetStored_reg_n_0__31_ "bSpOffsetStored_reg_n_0_[31]") (joined
20732          (portref I3 (instanceref bRegPortOutLcl_Data__31__i_2))
20733          (portref Q (instanceref bSpOffsetStored_reg_31_))
20734          )
20735         )
20736         (net (rename bSpOffsetStored_reg_n_0__3_ "bSpOffsetStored_reg_n_0_[3]") (joined
20737          (portref I4 (instanceref bRegPortOutLcl_Data__3__i_3))
20738          (portref Q (instanceref bSpOffsetStored_reg_3_))
20739          )
20740         )
20741         (net (rename bSpOffsetStored_reg_n_0__4_ "bSpOffsetStored_reg_n_0_[4]") (joined
20742          (portref I4 (instanceref bRegPortOutLcl_Data__4__i_4))
20743          (portref Q (instanceref bSpOffsetStored_reg_4_))
20744          )
20745         )
20746         (net (rename bSpOffsetStored_reg_n_0__5_ "bSpOffsetStored_reg_n_0_[5]") (joined
20747          (portref I4 (instanceref bRegPortOutLcl_Data__5__i_4))
20748          (portref Q (instanceref bSpOffsetStored_reg_5_))
20749          )
20750         )
20751         (net (rename bSpOffsetStored_reg_n_0__6_ "bSpOffsetStored_reg_n_0_[6]") (joined
20752          (portref I4 (instanceref bRegPortOutLcl_Data__6__i_5))
20753          (portref Q (instanceref bSpOffsetStored_reg_6_))
20754          )
20755         )
20756         (net (rename bSpOffsetStored_reg_n_0__7_ "bSpOffsetStored_reg_n_0_[7]") (joined
20757          (portref I4 (instanceref bRegPortOutLcl_Data__7__i_3))
20758          (portref Q (instanceref bSpOffsetStored_reg_7_))
20759          )
20760         )
20761         (net (rename bSpOffsetStored_reg_n_0__8_ "bSpOffsetStored_reg_n_0_[8]") (joined
20762          (portref I3 (instanceref bRegPortOutLcl_Data__8__i_3))
20763          (portref Q (instanceref bSpOffsetStored_reg_8_))
20764          )
20765         )
20766         (net (rename bSpOffsetStored_reg_n_0__9_ "bSpOffsetStored_reg_n_0_[9]") (joined
20767          (portref I1 (instanceref bRegPortOutLcl_Data__9__i_5))
20768          (portref Q (instanceref bSpOffsetStored_reg_9_))
20769          )
20770         )
20771         (net (rename bSpPeriodCtrlReadbackSyncReset_0_ "bSpPeriodCtrlReadbackSyncReset[0]") (joined
20772          (portref I3 (instanceref bRegPortOutLcl_Data__0__i_2))
20773          (portref Q (instanceref SpCntReadbackDsGen_0__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
20774          )
20775         )
20776         (net (rename bSpPeriodCtrlReadbackSyncReset_10_ "bSpPeriodCtrlReadbackSyncReset[10]") (joined
20777          (portref I4 (instanceref bRegPortOutLcl_Data__10__i_2))
20778          (portref Q (instanceref SpCntReadbackDsGen_10__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
20779          )
20780         )
20781         (net (rename bSpPeriodCtrlReadbackSyncReset_11_ "bSpPeriodCtrlReadbackSyncReset[11]") (joined
20782          (portref I1 (instanceref bRegPortOutLcl_Data__11__i_3))
20783          (portref Q (instanceref SpCntReadbackDsGen_11__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
20784          )
20785         )
20786         (net (rename bSpPeriodCtrlReadbackSyncReset_12_ "bSpPeriodCtrlReadbackSyncReset[12]") (joined
20787          (portref I3 (instanceref bRegPortOutLcl_Data__12__i_4))
20788          (portref Q (instanceref SpCntReadbackDsGen_12__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
20789          )
20790         )
20791         (net (rename bSpPeriodCtrlReadbackSyncReset_13_ "bSpPeriodCtrlReadbackSyncReset[13]") (joined
20792          (portref I1 (instanceref bRegPortOutLcl_Data__13__i_4))
20793          (portref Q (instanceref SpCntReadbackDsGen_13__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
20794          )
20795         )
20796         (net (rename bSpPeriodCtrlReadbackSyncReset_14_ "bSpPeriodCtrlReadbackSyncReset[14]") (joined
20797          (portref I1 (instanceref bRegPortOutLcl_Data__14__i_3))
20798          (portref Q (instanceref SpCntReadbackDsGen_14__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
20799          )
20800         )
20801         (net (rename bSpPeriodCtrlReadbackSyncReset_15_ "bSpPeriodCtrlReadbackSyncReset[15]") (joined
20802          (portref I1 (instanceref bRegPortOutLcl_Data__15__i_3))
20803          (portref Q (instanceref SpCntReadbackDsGen_15__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
20804          )
20805         )
20806         (net (rename bSpPeriodCtrlReadbackSyncReset_16_ "bSpPeriodCtrlReadbackSyncReset[16]") (joined
20807          (portref I4 (instanceref bRegPortOutLcl_Data__16__i_5))
20808          (portref Q (instanceref SpCntReadbackDsGen_16__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
20809          )
20810         )
20811         (net (rename bSpPeriodCtrlReadbackSyncReset_17_ "bSpPeriodCtrlReadbackSyncReset[17]") (joined
20812          (portref I3 (instanceref bRegPortOutLcl_Data__17__i_4))
20813          (portref Q (instanceref SpCntReadbackDsGen_17__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
20814          )
20815         )
20816         (net (rename bSpPeriodCtrlReadbackSyncReset_18_ "bSpPeriodCtrlReadbackSyncReset[18]") (joined
20817          (portref I3 (instanceref bRegPortOutLcl_Data__18__i_4))
20818          (portref Q (instanceref SpCntReadbackDsGen_18__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
20819          )
20820         )
20821         (net (rename bSpPeriodCtrlReadbackSyncReset_19_ "bSpPeriodCtrlReadbackSyncReset[19]") (joined
20822          (portref I1 (instanceref bRegPortOutLcl_Data__19__i_4))
20823          (portref Q (instanceref SpCntReadbackDsGen_19__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
20824          )
20825         )
20826         (net (rename bSpPeriodCtrlReadbackSyncReset_1_ "bSpPeriodCtrlReadbackSyncReset[1]") (joined
20827          (portref I4 (instanceref bRegPortOutLcl_Data__1__i_3))
20828          (portref Q (instanceref SpCntReadbackDsGen_1__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
20829          )
20830         )
20831         (net (rename bSpPeriodCtrlReadbackSyncReset_20_ "bSpPeriodCtrlReadbackSyncReset[20]") (joined
20832          (portref I1 (instanceref bRegPortOutLcl_Data__20__i_3))
20833          (portref Q (instanceref SpCntReadbackDsGen_20__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
20834          )
20835         )
20836         (net (rename bSpPeriodCtrlReadbackSyncReset_21_ "bSpPeriodCtrlReadbackSyncReset[21]") (joined
20837          (portref I1 (instanceref bRegPortOutLcl_Data__21__i_3))
20838          (portref Q (instanceref SpCntReadbackDsGen_21__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
20839          )
20840         )
20841         (net (rename bSpPeriodCtrlReadbackSyncReset_22_ "bSpPeriodCtrlReadbackSyncReset[22]") (joined
20842          (portref I1 (instanceref bRegPortOutLcl_Data__22__i_3))
20843          (portref Q (instanceref SpCntReadbackDsGen_22__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
20844          )
20845         )
20846         (net (rename bSpPeriodCtrlReadbackSyncReset_23_ "bSpPeriodCtrlReadbackSyncReset[23]") (joined
20847          (portref I1 (instanceref bRegPortOutLcl_Data__23__i_3))
20848          (portref Q (instanceref SpCntReadbackDsGen_23__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
20849          )
20850         )
20851         (net (rename bSpPeriodCtrlReadbackSyncReset_24_ "bSpPeriodCtrlReadbackSyncReset[24]") (joined
20852          (portref I1 (instanceref bRegPortOutLcl_Data__24__i_4))
20853          (portref Q (instanceref SpCntReadbackDsGen_24__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
20854          )
20855         )
20856         (net (rename bSpPeriodCtrlReadbackSyncReset_25_ "bSpPeriodCtrlReadbackSyncReset[25]") (joined
20857          (portref I1 (instanceref bRegPortOutLcl_Data__25__i_5))
20858          (portref Q (instanceref SpCntReadbackDsGen_25__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
20859          )
20860         )
20861         (net (rename bSpPeriodCtrlReadbackSyncReset_26_ "bSpPeriodCtrlReadbackSyncReset[26]") (joined
20862          (portref I3 (instanceref bRegPortOutLcl_Data__26__i_5))
20863          (portref Q (instanceref SpCntReadbackDsGen_26__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
20864          )
20865         )
20866         (net (rename bSpPeriodCtrlReadbackSyncReset_27_ "bSpPeriodCtrlReadbackSyncReset[27]") (joined
20867          (portref I3 (instanceref bRegPortOutLcl_Data__27__i_6))
20868          (portref Q (instanceref SpCntReadbackDsGen_27__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
20869          )
20870         )
20871         (net (rename bSpPeriodCtrlReadbackSyncReset_28_ "bSpPeriodCtrlReadbackSyncReset[28]") (joined
20872          (portref I4 (instanceref bRegPortOutLcl_Data__28__i_2))
20873          (portref Q (instanceref SpCntReadbackDsGen_28__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
20874          )
20875         )
20876         (net (rename bSpPeriodCtrlReadbackSyncReset_29_ "bSpPeriodCtrlReadbackSyncReset[29]") (joined
20877          (portref I1 (instanceref bRegPortOutLcl_Data__29__i_3))
20878          (portref Q (instanceref SpCntReadbackDsGen_29__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
20879          )
20880         )
20881         (net (rename bSpPeriodCtrlReadbackSyncReset_2_ "bSpPeriodCtrlReadbackSyncReset[2]") (joined
20882          (portref I4 (instanceref bRegPortOutLcl_Data__2__i_2))
20883          (portref Q (instanceref SpCntReadbackDsGen_2__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
20884          )
20885         )
20886         (net (rename bSpPeriodCtrlReadbackSyncReset_30_ "bSpPeriodCtrlReadbackSyncReset[30]") (joined
20887          (portref I1 (instanceref bRegPortOutLcl_Data__30__i_3))
20888          (portref Q (instanceref SpCntReadbackDsGen_30__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
20889          )
20890         )
20891         (net (rename bSpPeriodCtrlReadbackSyncReset_31_ "bSpPeriodCtrlReadbackSyncReset[31]") (joined
20892          (portref I1 (instanceref bRegPortOutLcl_Data__31__i_3))
20893          (portref Q (instanceref SpCntReadbackDsGen_31__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
20894          )
20895         )
20896         (net (rename bSpPeriodCtrlReadbackSyncReset_3_ "bSpPeriodCtrlReadbackSyncReset[3]") (joined
20897          (portref I4 (instanceref bRegPortOutLcl_Data__3__i_2))
20898          (portref Q (instanceref SpCntReadbackDsGen_3__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
20899          )
20900         )
20901         (net (rename bSpPeriodCtrlReadbackSyncReset_4_ "bSpPeriodCtrlReadbackSyncReset[4]") (joined
20902          (portref I4 (instanceref bRegPortOutLcl_Data__4__i_2))
20903          (portref Q (instanceref SpCntReadbackDsGen_4__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
20904          )
20905         )
20906         (net (rename bSpPeriodCtrlReadbackSyncReset_5_ "bSpPeriodCtrlReadbackSyncReset[5]") (joined
20907          (portref I4 (instanceref bRegPortOutLcl_Data__5__i_3))
20908          (portref Q (instanceref SpCntReadbackDsGen_5__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
20909          )
20910         )
20911         (net (rename bSpPeriodCtrlReadbackSyncReset_6_ "bSpPeriodCtrlReadbackSyncReset[6]") (joined
20912          (portref I4 (instanceref bRegPortOutLcl_Data__6__i_2))
20913          (portref Q (instanceref SpCntReadbackDsGen_6__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
20914          )
20915         )
20916         (net (rename bSpPeriodCtrlReadbackSyncReset_7_ "bSpPeriodCtrlReadbackSyncReset[7]") (joined
20917          (portref I4 (instanceref bRegPortOutLcl_Data__7__i_2))
20918          (portref Q (instanceref SpCntReadbackDsGen_7__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
20919          )
20920         )
20921         (net (rename bSpPeriodCtrlReadbackSyncReset_8_ "bSpPeriodCtrlReadbackSyncReset[8]") (joined
20922          (portref I4 (instanceref bRegPortOutLcl_Data__8__i_2))
20923          (portref Q (instanceref SpCntReadbackDsGen_8__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
20924          )
20925         )
20926         (net (rename bSpPeriodCtrlReadbackSyncReset_9_ "bSpPeriodCtrlReadbackSyncReset[9]") (joined
20927          (portref I4 (instanceref bRegPortOutLcl_Data__9__i_5))
20928          (portref Q (instanceref SpCntReadbackDsGen_9__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
20929          )
20930         )
20931         (net (rename bSpPeriodCtrlReadback_0_ "bSpPeriodCtrlReadback[0]") (joined
20932          (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
20933          (portref D (instanceref SpCntReadbackDsGen_0__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
20934          (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_0_))
20935          )
20936         )
20937         (net (rename bSpPeriodCtrlReadback_10_ "bSpPeriodCtrlReadback[10]") (joined
20938          (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
20939          (portref D (instanceref SpCntReadbackDsGen_10__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
20940          (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_10_))
20941          )
20942         )
20943         (net (rename bSpPeriodCtrlReadback_11_ "bSpPeriodCtrlReadback[11]") (joined
20944          (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
20945          (portref D (instanceref SpCntReadbackDsGen_11__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
20946          (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_11_))
20947          )
20948         )
20949         (net (rename bSpPeriodCtrlReadback_12_ "bSpPeriodCtrlReadback[12]") (joined
20950          (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
20951          (portref D (instanceref SpCntReadbackDsGen_12__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
20952          (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_12_))
20953          )
20954         )
20955         (net (rename bSpPeriodCtrlReadback_13_ "bSpPeriodCtrlReadback[13]") (joined
20956          (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
20957          (portref D (instanceref SpCntReadbackDsGen_13__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
20958          (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_13_))
20959          )
20960         )
20961         (net (rename bSpPeriodCtrlReadback_14_ "bSpPeriodCtrlReadback[14]") (joined
20962          (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
20963          (portref D (instanceref SpCntReadbackDsGen_14__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
20964          (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_14_))
20965          )
20966         )
20967         (net (rename bSpPeriodCtrlReadback_15_ "bSpPeriodCtrlReadback[15]") (joined
20968          (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
20969          (portref D (instanceref SpCntReadbackDsGen_15__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
20970          (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_15_))
20971          )
20972         )
20973         (net (rename bSpPeriodCtrlReadback_16_ "bSpPeriodCtrlReadback[16]") (joined
20974          (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
20975          (portref D (instanceref SpCntReadbackDsGen_16__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
20976          (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_16_))
20977          )
20978         )
20979         (net (rename bSpPeriodCtrlReadback_17_ "bSpPeriodCtrlReadback[17]") (joined
20980          (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
20981          (portref D (instanceref SpCntReadbackDsGen_17__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
20982          (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_17_))
20983          )
20984         )
20985         (net (rename bSpPeriodCtrlReadback_18_ "bSpPeriodCtrlReadback[18]") (joined
20986          (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
20987          (portref D (instanceref SpCntReadbackDsGen_18__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
20988          (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_18_))
20989          )
20990         )
20991         (net (rename bSpPeriodCtrlReadback_19_ "bSpPeriodCtrlReadback[19]") (joined
20992          (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
20993          (portref D (instanceref SpCntReadbackDsGen_19__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
20994          (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_19_))
20995          )
20996         )
20997         (net (rename bSpPeriodCtrlReadback_1_ "bSpPeriodCtrlReadback[1]") (joined
20998          (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
20999          (portref D (instanceref SpCntReadbackDsGen_1__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21000          (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_1_))
21001          )
21002         )
21003         (net (rename bSpPeriodCtrlReadback_20_ "bSpPeriodCtrlReadback[20]") (joined
21004          (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
21005          (portref D (instanceref SpCntReadbackDsGen_20__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21006          (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_20_))
21007          )
21008         )
21009         (net (rename bSpPeriodCtrlReadback_21_ "bSpPeriodCtrlReadback[21]") (joined
21010          (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
21011          (portref D (instanceref SpCntReadbackDsGen_21__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21012          (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_21_))
21013          )
21014         )
21015         (net (rename bSpPeriodCtrlReadback_22_ "bSpPeriodCtrlReadback[22]") (joined
21016          (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
21017          (portref D (instanceref SpCntReadbackDsGen_22__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21018          (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_22_))
21019          )
21020         )
21021         (net (rename bSpPeriodCtrlReadback_23_ "bSpPeriodCtrlReadback[23]") (joined
21022          (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
21023          (portref D (instanceref SpCntReadbackDsGen_23__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21024          (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_23_))
21025          )
21026         )
21027         (net (rename bSpPeriodCtrlReadback_24_ "bSpPeriodCtrlReadback[24]") (joined
21028          (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
21029          (portref D (instanceref SpCntReadbackDsGen_24__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21030          (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_24_))
21031          )
21032         )
21033         (net (rename bSpPeriodCtrlReadback_25_ "bSpPeriodCtrlReadback[25]") (joined
21034          (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
21035          (portref D (instanceref SpCntReadbackDsGen_25__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21036          (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_25_))
21037          )
21038         )
21039         (net (rename bSpPeriodCtrlReadback_26_ "bSpPeriodCtrlReadback[26]") (joined
21040          (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
21041          (portref D (instanceref SpCntReadbackDsGen_26__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21042          (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_26_))
21043          )
21044         )
21045         (net (rename bSpPeriodCtrlReadback_27_ "bSpPeriodCtrlReadback[27]") (joined
21046          (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
21047          (portref D (instanceref SpCntReadbackDsGen_27__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21048          (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_27_))
21049          )
21050         )
21051         (net (rename bSpPeriodCtrlReadback_28_ "bSpPeriodCtrlReadback[28]") (joined
21052          (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
21053          (portref D (instanceref SpCntReadbackDsGen_28__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21054          (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_28_))
21055          )
21056         )
21057         (net (rename bSpPeriodCtrlReadback_29_ "bSpPeriodCtrlReadback[29]") (joined
21058          (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
21059          (portref D (instanceref SpCntReadbackDsGen_29__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21060          (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_29_))
21061          )
21062         )
21063         (net (rename bSpPeriodCtrlReadback_2_ "bSpPeriodCtrlReadback[2]") (joined
21064          (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
21065          (portref D (instanceref SpCntReadbackDsGen_2__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21066          (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_2_))
21067          )
21068         )
21069         (net (rename bSpPeriodCtrlReadback_30_ "bSpPeriodCtrlReadback[30]") (joined
21070          (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
21071          (portref D (instanceref SpCntReadbackDsGen_30__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21072          (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_30_))
21073          )
21074         )
21075         (net (rename bSpPeriodCtrlReadback_31_ "bSpPeriodCtrlReadback[31]") (joined
21076          (portref D (instanceref SpCntReadbackDsGen_31__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21077          (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_31_))
21078          )
21079         )
21080         (net (rename bSpPeriodCtrlReadback_3_ "bSpPeriodCtrlReadback[3]") (joined
21081          (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
21082          (portref D (instanceref SpCntReadbackDsGen_3__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21083          (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_3_))
21084          )
21085         )
21086         (net (rename bSpPeriodCtrlReadback_4_ "bSpPeriodCtrlReadback[4]") (joined
21087          (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
21088          (portref D (instanceref SpCntReadbackDsGen_4__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21089          (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_4_))
21090          )
21091         )
21092         (net (rename bSpPeriodCtrlReadback_5_ "bSpPeriodCtrlReadback[5]") (joined
21093          (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
21094          (portref D (instanceref SpCntReadbackDsGen_5__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21095          (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_5_))
21096          )
21097         )
21098         (net (rename bSpPeriodCtrlReadback_6_ "bSpPeriodCtrlReadback[6]") (joined
21099          (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
21100          (portref D (instanceref SpCntReadbackDsGen_6__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21101          (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_6_))
21102          )
21103         )
21104         (net (rename bSpPeriodCtrlReadback_7_ "bSpPeriodCtrlReadback[7]") (joined
21105          (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
21106          (portref D (instanceref SpCntReadbackDsGen_7__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21107          (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_7_))
21108          )
21109         )
21110         (net (rename bSpPeriodCtrlReadback_8_ "bSpPeriodCtrlReadback[8]") (joined
21111          (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
21112          (portref D (instanceref SpCntReadbackDsGen_8__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21113          (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_8_))
21114          )
21115         )
21116         (net (rename bSpPeriodCtrlReadback_9_ "bSpPeriodCtrlReadback[9]") (joined
21117          (portref D (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
21118          (portref D (instanceref SpCntReadbackDsGen_9__SpCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21119          (portref Q (instanceref SpCntHs_BlkIn_iLclStoredData_reg_9_))
21120          )
21121         )
21122         (net (rename bSptPeriodCtrlReadbackSyncReset_0_ "bSptPeriodCtrlReadbackSyncReset[0]") (joined
21123          (portref I1 (instanceref bRegPortOutLcl_Data__0__i_3))
21124          (portref Q (instanceref SptCntReadbackDsGen_0__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
21125          )
21126         )
21127         (net (rename bSptPeriodCtrlReadbackSyncReset_10_ "bSptPeriodCtrlReadbackSyncReset[10]") (joined
21128          (portref I3 (instanceref bRegPortOutLcl_Data__10__i_4))
21129          (portref Q (instanceref SptCntReadbackDsGen_10__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
21130          )
21131         )
21132         (net (rename bSptPeriodCtrlReadbackSyncReset_11_ "bSptPeriodCtrlReadbackSyncReset[11]") (joined
21133          (portref I4 (instanceref bRegPortOutLcl_Data__11__i_3))
21134          (portref Q (instanceref SptCntReadbackDsGen_11__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
21135          )
21136         )
21137         (net (rename bSptPeriodCtrlReadbackSyncReset_12_ "bSptPeriodCtrlReadbackSyncReset[12]") (joined
21138          (portref I1 (instanceref bRegPortOutLcl_Data__12__i_2))
21139          (portref Q (instanceref SptCntReadbackDsGen_12__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
21140          )
21141         )
21142         (net (rename bSptPeriodCtrlReadbackSyncReset_13_ "bSptPeriodCtrlReadbackSyncReset[13]") (joined
21143          (portref I4 (instanceref bRegPortOutLcl_Data__13__i_4))
21144          (portref Q (instanceref SptCntReadbackDsGen_13__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
21145          )
21146         )
21147         (net (rename bSptPeriodCtrlReadbackSyncReset_14_ "bSptPeriodCtrlReadbackSyncReset[14]") (joined
21148          (portref I4 (instanceref bRegPortOutLcl_Data__14__i_3))
21149          (portref Q (instanceref SptCntReadbackDsGen_14__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
21150          )
21151         )
21152         (net (rename bSptPeriodCtrlReadbackSyncReset_15_ "bSptPeriodCtrlReadbackSyncReset[15]") (joined
21153          (portref I4 (instanceref bRegPortOutLcl_Data__15__i_3))
21154          (portref Q (instanceref SptCntReadbackDsGen_15__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
21155          )
21156         )
21157         (net (rename bSptPeriodCtrlReadbackSyncReset_16_ "bSptPeriodCtrlReadbackSyncReset[16]") (joined
21158          (portref I3 (instanceref bRegPortOutLcl_Data__16__i_2))
21159          (portref Q (instanceref SptCntReadbackDsGen_16__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
21160          )
21161         )
21162         (net (rename bSptPeriodCtrlReadbackSyncReset_17_ "bSptPeriodCtrlReadbackSyncReset[17]") (joined
21163          (portref I1 (instanceref bRegPortOutLcl_Data__17__i_2))
21164          (portref Q (instanceref SptCntReadbackDsGen_17__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
21165          )
21166         )
21167         (net (rename bSptPeriodCtrlReadbackSyncReset_18_ "bSptPeriodCtrlReadbackSyncReset[18]") (joined
21168          (portref I1 (instanceref bRegPortOutLcl_Data__18__i_2))
21169          (portref Q (instanceref SptCntReadbackDsGen_18__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
21170          )
21171         )
21172         (net (rename bSptPeriodCtrlReadbackSyncReset_19_ "bSptPeriodCtrlReadbackSyncReset[19]") (joined
21173          (portref I4 (instanceref bRegPortOutLcl_Data__19__i_4))
21174          (portref Q (instanceref SptCntReadbackDsGen_19__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
21175          )
21176         )
21177         (net (rename bSptPeriodCtrlReadbackSyncReset_1_ "bSptPeriodCtrlReadbackSyncReset[1]") (joined
21178          (portref I3 (instanceref bRegPortOutLcl_Data__1__i_5))
21179          (portref Q (instanceref SptCntReadbackDsGen_1__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
21180          )
21181         )
21182         (net (rename bSptPeriodCtrlReadbackSyncReset_20_ "bSptPeriodCtrlReadbackSyncReset[20]") (joined
21183          (portref I4 (instanceref bRegPortOutLcl_Data__20__i_3))
21184          (portref Q (instanceref SptCntReadbackDsGen_20__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
21185          )
21186         )
21187         (net (rename bSptPeriodCtrlReadbackSyncReset_21_ "bSptPeriodCtrlReadbackSyncReset[21]") (joined
21188          (portref I4 (instanceref bRegPortOutLcl_Data__21__i_3))
21189          (portref Q (instanceref SptCntReadbackDsGen_21__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
21190          )
21191         )
21192         (net (rename bSptPeriodCtrlReadbackSyncReset_22_ "bSptPeriodCtrlReadbackSyncReset[22]") (joined
21193          (portref I4 (instanceref bRegPortOutLcl_Data__22__i_3))
21194          (portref Q (instanceref SptCntReadbackDsGen_22__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
21195          )
21196         )
21197         (net (rename bSptPeriodCtrlReadbackSyncReset_23_ "bSptPeriodCtrlReadbackSyncReset[23]") (joined
21198          (portref I4 (instanceref bRegPortOutLcl_Data__23__i_3))
21199          (portref Q (instanceref SptCntReadbackDsGen_23__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
21200          )
21201         )
21202         (net (rename bSptPeriodCtrlReadbackSyncReset_24_ "bSptPeriodCtrlReadbackSyncReset[24]") (joined
21203          (portref I4 (instanceref bRegPortOutLcl_Data__24__i_4))
21204          (portref Q (instanceref SptCntReadbackDsGen_24__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
21205          )
21206         )
21207         (net (rename bSptPeriodCtrlReadbackSyncReset_25_ "bSptPeriodCtrlReadbackSyncReset[25]") (joined
21208          (portref I4 (instanceref bRegPortOutLcl_Data__25__i_5))
21209          (portref Q (instanceref SptCntReadbackDsGen_25__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
21210          )
21211         )
21212         (net (rename bSptPeriodCtrlReadbackSyncReset_26_ "bSptPeriodCtrlReadbackSyncReset[26]") (joined
21213          (portref I1 (instanceref bRegPortOutLcl_Data__26__i_2))
21214          (portref Q (instanceref SptCntReadbackDsGen_26__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
21215          )
21216         )
21217         (net (rename bSptPeriodCtrlReadbackSyncReset_27_ "bSptPeriodCtrlReadbackSyncReset[27]") (joined
21218          (portref I1 (instanceref bRegPortOutLcl_Data__27__i_2))
21219          (portref Q (instanceref SptCntReadbackDsGen_27__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
21220          )
21221         )
21222         (net (rename bSptPeriodCtrlReadbackSyncReset_28_ "bSptPeriodCtrlReadbackSyncReset[28]") (joined
21223          (portref I3 (instanceref bRegPortOutLcl_Data__28__i_6))
21224          (portref Q (instanceref SptCntReadbackDsGen_28__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
21225          )
21226         )
21227         (net (rename bSptPeriodCtrlReadbackSyncReset_29_ "bSptPeriodCtrlReadbackSyncReset[29]") (joined
21228          (portref I4 (instanceref bRegPortOutLcl_Data__29__i_3))
21229          (portref Q (instanceref SptCntReadbackDsGen_29__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
21230          )
21231         )
21232         (net (rename bSptPeriodCtrlReadbackSyncReset_2_ "bSptPeriodCtrlReadbackSyncReset[2]") (joined
21233          (portref I3 (instanceref bRegPortOutLcl_Data__2__i_3))
21234          (portref Q (instanceref SptCntReadbackDsGen_2__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
21235          )
21236         )
21237         (net (rename bSptPeriodCtrlReadbackSyncReset_30_ "bSptPeriodCtrlReadbackSyncReset[30]") (joined
21238          (portref I4 (instanceref bRegPortOutLcl_Data__30__i_3))
21239          (portref Q (instanceref SptCntReadbackDsGen_30__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
21240          )
21241         )
21242         (net (rename bSptPeriodCtrlReadbackSyncReset_31_ "bSptPeriodCtrlReadbackSyncReset[31]") (joined
21243          (portref I4 (instanceref bRegPortOutLcl_Data__31__i_3))
21244          (portref Q (instanceref SptCntReadbackDsGen_31__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
21245          )
21246         )
21247         (net (rename bSptPeriodCtrlReadbackSyncReset_3_ "bSptPeriodCtrlReadbackSyncReset[3]") (joined
21248          (portref I3 (instanceref bRegPortOutLcl_Data__3__i_5))
21249          (portref Q (instanceref SptCntReadbackDsGen_3__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
21250          )
21251         )
21252         (net (rename bSptPeriodCtrlReadbackSyncReset_4_ "bSptPeriodCtrlReadbackSyncReset[4]") (joined
21253          (portref I3 (instanceref bRegPortOutLcl_Data__4__i_3))
21254          (portref Q (instanceref SptCntReadbackDsGen_4__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
21255          )
21256         )
21257         (net (rename bSptPeriodCtrlReadbackSyncReset_5_ "bSptPeriodCtrlReadbackSyncReset[5]") (joined
21258          (portref I3 (instanceref bRegPortOutLcl_Data__5__i_5))
21259          (portref Q (instanceref SptCntReadbackDsGen_5__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
21260          )
21261         )
21262         (net (rename bSptPeriodCtrlReadbackSyncReset_6_ "bSptPeriodCtrlReadbackSyncReset[6]") (joined
21263          (portref I3 (instanceref bRegPortOutLcl_Data__6__i_3))
21264          (portref Q (instanceref SptCntReadbackDsGen_6__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
21265          )
21266         )
21267         (net (rename bSptPeriodCtrlReadbackSyncReset_7_ "bSptPeriodCtrlReadbackSyncReset[7]") (joined
21268          (portref I3 (instanceref bRegPortOutLcl_Data__7__i_5))
21269          (portref Q (instanceref SptCntReadbackDsGen_7__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
21270          )
21271         )
21272         (net (rename bSptPeriodCtrlReadbackSyncReset_8_ "bSptPeriodCtrlReadbackSyncReset[8]") (joined
21273          (portref I3 (instanceref bRegPortOutLcl_Data__8__i_5))
21274          (portref Q (instanceref SptCntReadbackDsGen_8__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
21275          )
21276         )
21277         (net (rename bSptPeriodCtrlReadbackSyncReset_9_ "bSptPeriodCtrlReadbackSyncReset[9]") (joined
21278          (portref I3 (instanceref bRegPortOutLcl_Data__9__i_2))
21279          (portref Q (instanceref SptCntReadbackDsGen_9__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
21280          )
21281         )
21282         (net (rename bSptPeriodCtrlReadback_0_ "bSptPeriodCtrlReadback[0]") (joined
21283          (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
21284          (portref D (instanceref SptCntReadbackDsGen_0__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21285          (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_0_))
21286          )
21287         )
21288         (net (rename bSptPeriodCtrlReadback_10_ "bSptPeriodCtrlReadback[10]") (joined
21289          (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
21290          (portref D (instanceref SptCntReadbackDsGen_10__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21291          (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_10_))
21292          )
21293         )
21294         (net (rename bSptPeriodCtrlReadback_11_ "bSptPeriodCtrlReadback[11]") (joined
21295          (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
21296          (portref D (instanceref SptCntReadbackDsGen_11__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21297          (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_11_))
21298          )
21299         )
21300         (net (rename bSptPeriodCtrlReadback_12_ "bSptPeriodCtrlReadback[12]") (joined
21301          (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
21302          (portref D (instanceref SptCntReadbackDsGen_12__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21303          (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_12_))
21304          )
21305         )
21306         (net (rename bSptPeriodCtrlReadback_13_ "bSptPeriodCtrlReadback[13]") (joined
21307          (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
21308          (portref D (instanceref SptCntReadbackDsGen_13__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21309          (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_13_))
21310          )
21311         )
21312         (net (rename bSptPeriodCtrlReadback_14_ "bSptPeriodCtrlReadback[14]") (joined
21313          (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
21314          (portref D (instanceref SptCntReadbackDsGen_14__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21315          (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_14_))
21316          )
21317         )
21318         (net (rename bSptPeriodCtrlReadback_15_ "bSptPeriodCtrlReadback[15]") (joined
21319          (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
21320          (portref D (instanceref SptCntReadbackDsGen_15__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21321          (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_15_))
21322          )
21323         )
21324         (net (rename bSptPeriodCtrlReadback_16_ "bSptPeriodCtrlReadback[16]") (joined
21325          (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
21326          (portref D (instanceref SptCntReadbackDsGen_16__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21327          (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_16_))
21328          )
21329         )
21330         (net (rename bSptPeriodCtrlReadback_17_ "bSptPeriodCtrlReadback[17]") (joined
21331          (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
21332          (portref D (instanceref SptCntReadbackDsGen_17__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21333          (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_17_))
21334          )
21335         )
21336         (net (rename bSptPeriodCtrlReadback_18_ "bSptPeriodCtrlReadback[18]") (joined
21337          (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
21338          (portref D (instanceref SptCntReadbackDsGen_18__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21339          (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_18_))
21340          )
21341         )
21342         (net (rename bSptPeriodCtrlReadback_19_ "bSptPeriodCtrlReadback[19]") (joined
21343          (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
21344          (portref D (instanceref SptCntReadbackDsGen_19__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21345          (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_19_))
21346          )
21347         )
21348         (net (rename bSptPeriodCtrlReadback_1_ "bSptPeriodCtrlReadback[1]") (joined
21349          (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
21350          (portref D (instanceref SptCntReadbackDsGen_1__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21351          (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_1_))
21352          )
21353         )
21354         (net (rename bSptPeriodCtrlReadback_20_ "bSptPeriodCtrlReadback[20]") (joined
21355          (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
21356          (portref D (instanceref SptCntReadbackDsGen_20__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21357          (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_20_))
21358          )
21359         )
21360         (net (rename bSptPeriodCtrlReadback_21_ "bSptPeriodCtrlReadback[21]") (joined
21361          (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
21362          (portref D (instanceref SptCntReadbackDsGen_21__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21363          (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_21_))
21364          )
21365         )
21366         (net (rename bSptPeriodCtrlReadback_22_ "bSptPeriodCtrlReadback[22]") (joined
21367          (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
21368          (portref D (instanceref SptCntReadbackDsGen_22__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21369          (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_22_))
21370          )
21371         )
21372         (net (rename bSptPeriodCtrlReadback_23_ "bSptPeriodCtrlReadback[23]") (joined
21373          (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
21374          (portref D (instanceref SptCntReadbackDsGen_23__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21375          (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_23_))
21376          )
21377         )
21378         (net (rename bSptPeriodCtrlReadback_24_ "bSptPeriodCtrlReadback[24]") (joined
21379          (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
21380          (portref D (instanceref SptCntReadbackDsGen_24__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21381          (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_24_))
21382          )
21383         )
21384         (net (rename bSptPeriodCtrlReadback_25_ "bSptPeriodCtrlReadback[25]") (joined
21385          (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
21386          (portref D (instanceref SptCntReadbackDsGen_25__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21387          (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_25_))
21388          )
21389         )
21390         (net (rename bSptPeriodCtrlReadback_26_ "bSptPeriodCtrlReadback[26]") (joined
21391          (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
21392          (portref D (instanceref SptCntReadbackDsGen_26__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21393          (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_26_))
21394          )
21395         )
21396         (net (rename bSptPeriodCtrlReadback_27_ "bSptPeriodCtrlReadback[27]") (joined
21397          (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
21398          (portref D (instanceref SptCntReadbackDsGen_27__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21399          (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_27_))
21400          )
21401         )
21402         (net (rename bSptPeriodCtrlReadback_28_ "bSptPeriodCtrlReadback[28]") (joined
21403          (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
21404          (portref D (instanceref SptCntReadbackDsGen_28__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21405          (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_28_))
21406          )
21407         )
21408         (net (rename bSptPeriodCtrlReadback_29_ "bSptPeriodCtrlReadback[29]") (joined
21409          (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
21410          (portref D (instanceref SptCntReadbackDsGen_29__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21411          (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_29_))
21412          )
21413         )
21414         (net (rename bSptPeriodCtrlReadback_2_ "bSptPeriodCtrlReadback[2]") (joined
21415          (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
21416          (portref D (instanceref SptCntReadbackDsGen_2__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21417          (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_2_))
21418          )
21419         )
21420         (net (rename bSptPeriodCtrlReadback_30_ "bSptPeriodCtrlReadback[30]") (joined
21421          (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
21422          (portref D (instanceref SptCntReadbackDsGen_30__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21423          (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_30_))
21424          )
21425         )
21426         (net (rename bSptPeriodCtrlReadback_31_ "bSptPeriodCtrlReadback[31]") (joined
21427          (portref D (instanceref SptCntReadbackDsGen_31__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21428          (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_31_))
21429          )
21430         )
21431         (net (rename bSptPeriodCtrlReadback_3_ "bSptPeriodCtrlReadback[3]") (joined
21432          (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
21433          (portref D (instanceref SptCntReadbackDsGen_3__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21434          (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_3_))
21435          )
21436         )
21437         (net (rename bSptPeriodCtrlReadback_4_ "bSptPeriodCtrlReadback[4]") (joined
21438          (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
21439          (portref D (instanceref SptCntReadbackDsGen_4__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21440          (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_4_))
21441          )
21442         )
21443         (net (rename bSptPeriodCtrlReadback_5_ "bSptPeriodCtrlReadback[5]") (joined
21444          (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
21445          (portref D (instanceref SptCntReadbackDsGen_5__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21446          (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_5_))
21447          )
21448         )
21449         (net (rename bSptPeriodCtrlReadback_6_ "bSptPeriodCtrlReadback[6]") (joined
21450          (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
21451          (portref D (instanceref SptCntReadbackDsGen_6__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21452          (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_6_))
21453          )
21454         )
21455         (net (rename bSptPeriodCtrlReadback_7_ "bSptPeriodCtrlReadback[7]") (joined
21456          (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
21457          (portref D (instanceref SptCntReadbackDsGen_7__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21458          (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_7_))
21459          )
21460         )
21461         (net (rename bSptPeriodCtrlReadback_8_ "bSptPeriodCtrlReadback[8]") (joined
21462          (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
21463          (portref D (instanceref SptCntReadbackDsGen_8__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21464          (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_8_))
21465          )
21466         )
21467         (net (rename bSptPeriodCtrlReadback_9_ "bSptPeriodCtrlReadback[9]") (joined
21468          (portref D (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
21469          (portref D (instanceref SptCntReadbackDsGen_9__SptCntReadbackDs_DoubleSyncAsyncInBasex_oSig_msx_Gen0_FDCEx))
21470          (portref Q (instanceref SptCntHs_BlkIn_iLclStoredData_reg_9_))
21471          )
21472         )
21473         (net iDlyPush (joined
21474          (portref I0 (instanceref Gen0_FDCEx_i_1__4))
21475          (portref Q (instanceref RpCntHs_BlkIn_iDlyPush_reg))
21476          )
21477         )
21478         (net mOffsetsValid (joined
21479          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iDlyPush_reg))
21480          (portref I0 (instanceref Gen0_FDCEx_i_1__11))
21481          (portref mOffsetsValid)
21482          )
21483         )
21484         (net (rename mRpOffset_0_ "mRpOffset[0]") (joined
21485          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_40_))
21486          (portref (member mRpOffset 39))
21487          )
21488         )
21489         (net (rename mRpOffset_10_ "mRpOffset[10]") (joined
21490          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_50_))
21491          (portref (member mRpOffset 29))
21492          )
21493         )
21494         (net (rename mRpOffset_11_ "mRpOffset[11]") (joined
21495          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_51_))
21496          (portref (member mRpOffset 28))
21497          )
21498         )
21499         (net (rename mRpOffset_12_ "mRpOffset[12]") (joined
21500          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_52_))
21501          (portref (member mRpOffset 27))
21502          )
21503         )
21504         (net (rename mRpOffset_13_ "mRpOffset[13]") (joined
21505          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_53_))
21506          (portref (member mRpOffset 26))
21507          )
21508         )
21509         (net (rename mRpOffset_14_ "mRpOffset[14]") (joined
21510          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_54_))
21511          (portref (member mRpOffset 25))
21512          )
21513         )
21514         (net (rename mRpOffset_15_ "mRpOffset[15]") (joined
21515          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_55_))
21516          (portref (member mRpOffset 24))
21517          )
21518         )
21519         (net (rename mRpOffset_16_ "mRpOffset[16]") (joined
21520          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_56_))
21521          (portref (member mRpOffset 23))
21522          )
21523         )
21524         (net (rename mRpOffset_17_ "mRpOffset[17]") (joined
21525          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_57_))
21526          (portref (member mRpOffset 22))
21527          )
21528         )
21529         (net (rename mRpOffset_18_ "mRpOffset[18]") (joined
21530          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_58_))
21531          (portref (member mRpOffset 21))
21532          )
21533         )
21534         (net (rename mRpOffset_19_ "mRpOffset[19]") (joined
21535          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_59_))
21536          (portref (member mRpOffset 20))
21537          )
21538         )
21539         (net (rename mRpOffset_1_ "mRpOffset[1]") (joined
21540          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_41_))
21541          (portref (member mRpOffset 38))
21542          )
21543         )
21544         (net (rename mRpOffset_20_ "mRpOffset[20]") (joined
21545          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_60_))
21546          (portref (member mRpOffset 19))
21547          )
21548         )
21549         (net (rename mRpOffset_21_ "mRpOffset[21]") (joined
21550          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_61_))
21551          (portref (member mRpOffset 18))
21552          )
21553         )
21554         (net (rename mRpOffset_22_ "mRpOffset[22]") (joined
21555          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_62_))
21556          (portref (member mRpOffset 17))
21557          )
21558         )
21559         (net (rename mRpOffset_23_ "mRpOffset[23]") (joined
21560          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_63_))
21561          (portref (member mRpOffset 16))
21562          )
21563         )
21564         (net (rename mRpOffset_24_ "mRpOffset[24]") (joined
21565          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_64_))
21566          (portref (member mRpOffset 15))
21567          )
21568         )
21569         (net (rename mRpOffset_25_ "mRpOffset[25]") (joined
21570          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_65_))
21571          (portref (member mRpOffset 14))
21572          )
21573         )
21574         (net (rename mRpOffset_26_ "mRpOffset[26]") (joined
21575          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_66_))
21576          (portref (member mRpOffset 13))
21577          )
21578         )
21579         (net (rename mRpOffset_27_ "mRpOffset[27]") (joined
21580          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_67_))
21581          (portref (member mRpOffset 12))
21582          )
21583         )
21584         (net (rename mRpOffset_28_ "mRpOffset[28]") (joined
21585          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_68_))
21586          (portref (member mRpOffset 11))
21587          )
21588         )
21589         (net (rename mRpOffset_29_ "mRpOffset[29]") (joined
21590          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_69_))
21591          (portref (member mRpOffset 10))
21592          )
21593         )
21594         (net (rename mRpOffset_2_ "mRpOffset[2]") (joined
21595          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_42_))
21596          (portref (member mRpOffset 37))
21597          )
21598         )
21599         (net (rename mRpOffset_30_ "mRpOffset[30]") (joined
21600          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_70_))
21601          (portref (member mRpOffset 9))
21602          )
21603         )
21604         (net (rename mRpOffset_31_ "mRpOffset[31]") (joined
21605          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_71_))
21606          (portref (member mRpOffset 8))
21607          )
21608         )
21609         (net (rename mRpOffset_32_ "mRpOffset[32]") (joined
21610          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_72_))
21611          (portref (member mRpOffset 7))
21612          )
21613         )
21614         (net (rename mRpOffset_33_ "mRpOffset[33]") (joined
21615          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_73_))
21616          (portref (member mRpOffset 6))
21617          )
21618         )
21619         (net (rename mRpOffset_34_ "mRpOffset[34]") (joined
21620          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_74_))
21621          (portref (member mRpOffset 5))
21622          )
21623         )
21624         (net (rename mRpOffset_35_ "mRpOffset[35]") (joined
21625          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_75_))
21626          (portref (member mRpOffset 4))
21627          )
21628         )
21629         (net (rename mRpOffset_36_ "mRpOffset[36]") (joined
21630          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_76_))
21631          (portref (member mRpOffset 3))
21632          )
21633         )
21634         (net (rename mRpOffset_37_ "mRpOffset[37]") (joined
21635          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_77_))
21636          (portref (member mRpOffset 2))
21637          )
21638         )
21639         (net (rename mRpOffset_38_ "mRpOffset[38]") (joined
21640          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_78_))
21641          (portref (member mRpOffset 1))
21642          )
21643         )
21644         (net (rename mRpOffset_39_ "mRpOffset[39]") (joined
21645          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_79_))
21646          (portref (member mRpOffset 0))
21647          )
21648         )
21649         (net (rename mRpOffset_3_ "mRpOffset[3]") (joined
21650          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_43_))
21651          (portref (member mRpOffset 36))
21652          )
21653         )
21654         (net (rename mRpOffset_4_ "mRpOffset[4]") (joined
21655          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_44_))
21656          (portref (member mRpOffset 35))
21657          )
21658         )
21659         (net (rename mRpOffset_5_ "mRpOffset[5]") (joined
21660          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_45_))
21661          (portref (member mRpOffset 34))
21662          )
21663         )
21664         (net (rename mRpOffset_6_ "mRpOffset[6]") (joined
21665          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_46_))
21666          (portref (member mRpOffset 33))
21667          )
21668         )
21669         (net (rename mRpOffset_7_ "mRpOffset[7]") (joined
21670          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_47_))
21671          (portref (member mRpOffset 32))
21672          )
21673         )
21674         (net (rename mRpOffset_8_ "mRpOffset[8]") (joined
21675          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_48_))
21676          (portref (member mRpOffset 31))
21677          )
21678         )
21679         (net (rename mRpOffset_9_ "mRpOffset[9]") (joined
21680          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_49_))
21681          (portref (member mRpOffset 30))
21682          )
21683         )
21684         (net (rename mSpOffset_0_ "mSpOffset[0]") (joined
21685          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_0_))
21686          (portref (member mSpOffset 39))
21687          )
21688         )
21689         (net (rename mSpOffset_10_ "mSpOffset[10]") (joined
21690          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_10_))
21691          (portref (member mSpOffset 29))
21692          )
21693         )
21694         (net (rename mSpOffset_11_ "mSpOffset[11]") (joined
21695          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_11_))
21696          (portref (member mSpOffset 28))
21697          )
21698         )
21699         (net (rename mSpOffset_12_ "mSpOffset[12]") (joined
21700          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_12_))
21701          (portref (member mSpOffset 27))
21702          )
21703         )
21704         (net (rename mSpOffset_13_ "mSpOffset[13]") (joined
21705          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_13_))
21706          (portref (member mSpOffset 26))
21707          )
21708         )
21709         (net (rename mSpOffset_14_ "mSpOffset[14]") (joined
21710          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_14_))
21711          (portref (member mSpOffset 25))
21712          )
21713         )
21714         (net (rename mSpOffset_15_ "mSpOffset[15]") (joined
21715          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_15_))
21716          (portref (member mSpOffset 24))
21717          )
21718         )
21719         (net (rename mSpOffset_16_ "mSpOffset[16]") (joined
21720          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_16_))
21721          (portref (member mSpOffset 23))
21722          )
21723         )
21724         (net (rename mSpOffset_17_ "mSpOffset[17]") (joined
21725          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_17_))
21726          (portref (member mSpOffset 22))
21727          )
21728         )
21729         (net (rename mSpOffset_18_ "mSpOffset[18]") (joined
21730          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_18_))
21731          (portref (member mSpOffset 21))
21732          )
21733         )
21734         (net (rename mSpOffset_19_ "mSpOffset[19]") (joined
21735          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_19_))
21736          (portref (member mSpOffset 20))
21737          )
21738         )
21739         (net (rename mSpOffset_1_ "mSpOffset[1]") (joined
21740          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_1_))
21741          (portref (member mSpOffset 38))
21742          )
21743         )
21744         (net (rename mSpOffset_20_ "mSpOffset[20]") (joined
21745          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_20_))
21746          (portref (member mSpOffset 19))
21747          )
21748         )
21749         (net (rename mSpOffset_21_ "mSpOffset[21]") (joined
21750          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_21_))
21751          (portref (member mSpOffset 18))
21752          )
21753         )
21754         (net (rename mSpOffset_22_ "mSpOffset[22]") (joined
21755          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_22_))
21756          (portref (member mSpOffset 17))
21757          )
21758         )
21759         (net (rename mSpOffset_23_ "mSpOffset[23]") (joined
21760          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_23_))
21761          (portref (member mSpOffset 16))
21762          )
21763         )
21764         (net (rename mSpOffset_24_ "mSpOffset[24]") (joined
21765          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_24_))
21766          (portref (member mSpOffset 15))
21767          )
21768         )
21769         (net (rename mSpOffset_25_ "mSpOffset[25]") (joined
21770          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_25_))
21771          (portref (member mSpOffset 14))
21772          )
21773         )
21774         (net (rename mSpOffset_26_ "mSpOffset[26]") (joined
21775          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_26_))
21776          (portref (member mSpOffset 13))
21777          )
21778         )
21779         (net (rename mSpOffset_27_ "mSpOffset[27]") (joined
21780          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_27_))
21781          (portref (member mSpOffset 12))
21782          )
21783         )
21784         (net (rename mSpOffset_28_ "mSpOffset[28]") (joined
21785          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_28_))
21786          (portref (member mSpOffset 11))
21787          )
21788         )
21789         (net (rename mSpOffset_29_ "mSpOffset[29]") (joined
21790          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_29_))
21791          (portref (member mSpOffset 10))
21792          )
21793         )
21794         (net (rename mSpOffset_2_ "mSpOffset[2]") (joined
21795          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_2_))
21796          (portref (member mSpOffset 37))
21797          )
21798         )
21799         (net (rename mSpOffset_30_ "mSpOffset[30]") (joined
21800          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_30_))
21801          (portref (member mSpOffset 9))
21802          )
21803         )
21804         (net (rename mSpOffset_31_ "mSpOffset[31]") (joined
21805          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_31_))
21806          (portref (member mSpOffset 8))
21807          )
21808         )
21809         (net (rename mSpOffset_32_ "mSpOffset[32]") (joined
21810          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_32_))
21811          (portref (member mSpOffset 7))
21812          )
21813         )
21814         (net (rename mSpOffset_33_ "mSpOffset[33]") (joined
21815          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_33_))
21816          (portref (member mSpOffset 6))
21817          )
21818         )
21819         (net (rename mSpOffset_34_ "mSpOffset[34]") (joined
21820          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_34_))
21821          (portref (member mSpOffset 5))
21822          )
21823         )
21824         (net (rename mSpOffset_35_ "mSpOffset[35]") (joined
21825          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_35_))
21826          (portref (member mSpOffset 4))
21827          )
21828         )
21829         (net (rename mSpOffset_36_ "mSpOffset[36]") (joined
21830          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_36_))
21831          (portref (member mSpOffset 3))
21832          )
21833         )
21834         (net (rename mSpOffset_37_ "mSpOffset[37]") (joined
21835          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_37_))
21836          (portref (member mSpOffset 2))
21837          )
21838         )
21839         (net (rename mSpOffset_38_ "mSpOffset[38]") (joined
21840          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_38_))
21841          (portref (member mSpOffset 1))
21842          )
21843         )
21844         (net (rename mSpOffset_39_ "mSpOffset[39]") (joined
21845          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_39_))
21846          (portref (member mSpOffset 0))
21847          )
21848         )
21849         (net (rename mSpOffset_3_ "mSpOffset[3]") (joined
21850          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_3_))
21851          (portref (member mSpOffset 36))
21852          )
21853         )
21854         (net (rename mSpOffset_4_ "mSpOffset[4]") (joined
21855          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_4_))
21856          (portref (member mSpOffset 35))
21857          )
21858         )
21859         (net (rename mSpOffset_5_ "mSpOffset[5]") (joined
21860          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_5_))
21861          (portref (member mSpOffset 34))
21862          )
21863         )
21864         (net (rename mSpOffset_6_ "mSpOffset[6]") (joined
21865          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_6_))
21866          (portref (member mSpOffset 33))
21867          )
21868         )
21869         (net (rename mSpOffset_7_ "mSpOffset[7]") (joined
21870          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_7_))
21871          (portref (member mSpOffset 32))
21872          )
21873         )
21874         (net (rename mSpOffset_8_ "mSpOffset[8]") (joined
21875          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_8_))
21876          (portref (member mSpOffset 31))
21877          )
21878         )
21879         (net (rename mSpOffset_9_ "mSpOffset[9]") (joined
21880          (portref D (instanceref IncomingOffsetHs_HBx_BlkIn_iLclStoredData_reg_9_))
21881          (portref (member mSpOffset 30))
21882          )
21883         )
21884         (net oDataValid (joined
21885          (portref CE (instanceref sPpsClkCrossDelayVal_reg_0_))
21886          (portref CE (instanceref sPpsClkCrossDelayVal_reg_1_))
21887          (portref CE (instanceref sPpsClkCrossDelayVal_reg_2_))
21888          (portref CE (instanceref sPpsClkCrossDelayVal_reg_3_))
21889          (portref Q (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oDataValid_reg))
21890          )
21891         )
21892         (net oPushToggle2 (joined
21893          (portref I1 (instanceref Gen0_FDCEx_i_1__5))
21894          (portref Q (instanceref PpsDelayValCrossingHs_HBx_BlkOut_oPushToggle2_reg))
21895          )
21896         )
21897         (net p_0_out (joined
21898          (portref I0 (instanceref bSpOffsetStored_0__i_1))
21899          (portref Q (instanceref OffsetsDsGen_0__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
21900          )
21901         )
21902         (net p_10_out (joined
21903          (portref I0 (instanceref bSpOffsetStored_10__i_1))
21904          (portref Q (instanceref OffsetsDsGen_10__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
21905          )
21906         )
21907         (net p_11_out (joined
21908          (portref I0 (instanceref bSpOffsetStored_11__i_1))
21909          (portref Q (instanceref OffsetsDsGen_11__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
21910          )
21911         )
21912         (net p_12_out (joined
21913          (portref I0 (instanceref bSpOffsetStored_12__i_1))
21914          (portref Q (instanceref OffsetsDsGen_12__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
21915          )
21916         )
21917         (net p_13_out (joined
21918          (portref I0 (instanceref bSpOffsetStored_13__i_1))
21919          (portref Q (instanceref OffsetsDsGen_13__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
21920          )
21921         )
21922         (net p_14_out (joined
21923          (portref I0 (instanceref bSpOffsetStored_14__i_1))
21924          (portref Q (instanceref OffsetsDsGen_14__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
21925          )
21926         )
21927         (net p_15_out (joined
21928          (portref I0 (instanceref bSpOffsetStored_15__i_1))
21929          (portref Q (instanceref OffsetsDsGen_15__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
21930          )
21931         )
21932         (net p_16_out (joined
21933          (portref I0 (instanceref bSpOffsetStored_16__i_1))
21934          (portref Q (instanceref OffsetsDsGen_16__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
21935          )
21936         )
21937         (net p_17_out (joined
21938          (portref I0 (instanceref bSpOffsetStored_17__i_1))
21939          (portref Q (instanceref OffsetsDsGen_17__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
21940          )
21941         )
21942         (net p_18_out (joined
21943          (portref I0 (instanceref bSpOffsetStored_18__i_1))
21944          (portref Q (instanceref OffsetsDsGen_18__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
21945          )
21946         )
21947         (net p_19_out (joined
21948          (portref I0 (instanceref bSpOffsetStored_19__i_1))
21949          (portref Q (instanceref OffsetsDsGen_19__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
21950          )
21951         )
21952         (net (rename p_1_in_10_ "p_1_in[10]") (joined
21953          (portref D (instanceref bScratch_reg_10_))
21954          (portref O (instanceref bScratch_10__i_1))
21955          )
21956         )
21957         (net (rename p_1_in_11_ "p_1_in[11]") (joined
21958          (portref D (instanceref bScratch_reg_11_))
21959          (portref O (instanceref bScratch_11__i_1))
21960          )
21961         )
21962         (net (rename p_1_in_13_ "p_1_in[13]") (joined
21963          (portref D (instanceref bScratch_reg_13_))
21964          (portref O (instanceref bScratch_13__i_1))
21965          )
21966         )
21967         (net (rename p_1_in_14_ "p_1_in[14]") (joined
21968          (portref D (instanceref bScratch_reg_14_))
21969          (portref O (instanceref bScratch_14__i_1))
21970          )
21971         )
21972         (net (rename p_1_in_15_ "p_1_in[15]") (joined
21973          (portref D (instanceref bScratch_reg_15_))
21974          (portref O (instanceref bScratch_15__i_1))
21975          )
21976         )
21977         (net (rename p_1_in_16_ "p_1_in[16]") (joined
21978          (portref D (instanceref bPpsClkCrossDelayVal_reg_0_))
21979          (portref D (instanceref bScratch_reg_16_))
21980          (portref O (instanceref bPpsClkCrossDelayVal_0__i_1))
21981          )
21982         )
21983         (net (rename p_1_in_19_ "p_1_in[19]") (joined
21984          (portref D (instanceref bPpsClkCrossDelayVal_reg_3_))
21985          (portref D (instanceref bScratch_reg_19_))
21986          (portref O (instanceref bPpsClkCrossDelayVal_3__i_2))
21987          )
21988         )
21989         (net (rename p_1_in_1_ "p_1_in[1]") (joined
21990          (portref D (instanceref bScratch_reg_1_))
21991          (portref O (instanceref bScratch_1__i_1))
21992          )
21993         )
21994         (net (rename p_1_in_20_ "p_1_in[20]") (joined
21995          (portref D (instanceref bScratch_reg_20_))
21996          (portref O (instanceref bScratch_20__i_1))
21997          )
21998         )
21999         (net (rename p_1_in_21_ "p_1_in[21]") (joined
22000          (portref D (instanceref bScratch_reg_21_))
22001          (portref O (instanceref bScratch_21__i_1))
22002          )
22003         )
22004         (net (rename p_1_in_22_ "p_1_in[22]") (joined
22005          (portref D (instanceref bScratch_reg_22_))
22006          (portref O (instanceref bScratch_22__i_1))
22007          )
22008         )
22009         (net (rename p_1_in_23_ "p_1_in[23]") (joined
22010          (portref D (instanceref bScratch_reg_23_))
22011          (portref O (instanceref bScratch_23__i_1))
22012          )
22013         )
22014         (net (rename p_1_in_24_ "p_1_in[24]") (joined
22015          (portref D (instanceref bScratch_reg_24_))
22016          (portref O (instanceref bScratch_24__i_1))
22017          )
22018         )
22019         (net (rename p_1_in_25_ "p_1_in[25]") (joined
22020          (portref D (instanceref bPulserEnableDelayVal_reg_1_))
22021          (portref D (instanceref bScratch_reg_25_))
22022          (portref O (instanceref bPulserEnableDelayVal_1__i_1))
22023          )
22024         )
22025         (net (rename p_1_in_28_ "p_1_in[28]") (joined
22026          (portref D (instanceref bScratch_reg_28_))
22027          (portref O (instanceref bScratch_28__i_1))
22028          )
22029         )
22030         (net (rename p_1_in_29_ "p_1_in[29]") (joined
22031          (portref D (instanceref bScratch_reg_29_))
22032          (portref O (instanceref bScratch_29__i_1))
22033          )
22034         )
22035         (net (rename p_1_in_2_ "p_1_in[2]") (joined
22036          (portref D (instanceref bScratch_reg_2_))
22037          (portref O (instanceref bScratch_2__i_1))
22038          )
22039         )
22040         (net (rename p_1_in_30_ "p_1_in[30]") (joined
22041          (portref D (instanceref bScratch_reg_30_))
22042          (portref O (instanceref bScratch_30__i_1))
22043          )
22044         )
22045         (net (rename p_1_in_31_ "p_1_in[31]") (joined
22046          (portref D (instanceref bScratch_reg_31_))
22047          (portref O (instanceref bScratch_31__i_2))
22048          )
22049         )
22050         (net (rename p_1_in_3_ "p_1_in[3]") (joined
22051          (portref D (instanceref bScratch_reg_3_))
22052          (portref O (instanceref bScratch_3__i_1))
22053          )
22054         )
22055         (net (rename p_1_in_5_ "p_1_in[5]") (joined
22056          (portref D (instanceref bScratch_reg_5_))
22057          (portref O (instanceref bScratch_5__i_1))
22058          )
22059         )
22060         (net (rename p_1_in_6_ "p_1_in[6]") (joined
22061          (portref D (instanceref bScratch_reg_6_))
22062          (portref O (instanceref bScratch_6__i_1))
22063          )
22064         )
22065         (net (rename p_1_in_7_ "p_1_in[7]") (joined
22066          (portref D (instanceref bScratch_reg_7_))
22067          (portref O (instanceref bScratch_7__i_1))
22068          )
22069         )
22070         (net (rename p_1_in_9_ "p_1_in[9]") (joined
22071          (portref D (instanceref bScratch_reg_9_))
22072          (portref O (instanceref bScratch_9__i_1))
22073          )
22074         )
22075         (net p_1_out (joined
22076          (portref I0 (instanceref bSpOffsetStored_1__i_1))
22077          (portref Q (instanceref OffsetsDsGen_1__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22078          )
22079         )
22080         (net p_20_out (joined
22081          (portref I0 (instanceref bSpOffsetStored_20__i_1))
22082          (portref Q (instanceref OffsetsDsGen_20__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22083          )
22084         )
22085         (net p_21_out (joined
22086          (portref I0 (instanceref bSpOffsetStored_21__i_1))
22087          (portref Q (instanceref OffsetsDsGen_21__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22088          )
22089         )
22090         (net p_22_out (joined
22091          (portref I0 (instanceref bSpOffsetStored_22__i_1))
22092          (portref Q (instanceref OffsetsDsGen_22__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22093          )
22094         )
22095         (net p_23_out (joined
22096          (portref I0 (instanceref bSpOffsetStored_23__i_1))
22097          (portref Q (instanceref OffsetsDsGen_23__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22098          )
22099         )
22100         (net p_24_out (joined
22101          (portref I0 (instanceref bSpOffsetStored_24__i_1))
22102          (portref Q (instanceref OffsetsDsGen_24__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22103          )
22104         )
22105         (net p_25_out (joined
22106          (portref I0 (instanceref bSpOffsetStored_25__i_1))
22107          (portref Q (instanceref OffsetsDsGen_25__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22108          )
22109         )
22110         (net p_26_out (joined
22111          (portref I0 (instanceref bSpOffsetStored_26__i_1))
22112          (portref Q (instanceref OffsetsDsGen_26__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22113          )
22114         )
22115         (net p_27_out (joined
22116          (portref I0 (instanceref bSpOffsetStored_27__i_1))
22117          (portref Q (instanceref OffsetsDsGen_27__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22118          )
22119         )
22120         (net p_28_out (joined
22121          (portref I0 (instanceref bSpOffsetStored_28__i_1))
22122          (portref Q (instanceref OffsetsDsGen_28__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22123          )
22124         )
22125         (net p_29_out (joined
22126          (portref I0 (instanceref bSpOffsetStored_29__i_1))
22127          (portref Q (instanceref OffsetsDsGen_29__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22128          )
22129         )
22130         (net p_2_out (joined
22131          (portref I0 (instanceref bSpOffsetStored_2__i_1))
22132          (portref Q (instanceref OffsetsDsGen_2__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22133          )
22134         )
22135         (net p_30_out (joined
22136          (portref I0 (instanceref bSpOffsetStored_30__i_1))
22137          (portref Q (instanceref OffsetsDsGen_30__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22138          )
22139         )
22140         (net p_31_out (joined
22141          (portref I0 (instanceref bSpOffsetStored_31__i_1))
22142          (portref Q (instanceref OffsetsDsGen_31__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22143          )
22144         )
22145         (net p_32_out (joined
22146          (portref I4 (instanceref bRegPortOutLcl_Data__0__i_4))
22147          (portref Q (instanceref OffsetsDsGen_32__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22148          )
22149         )
22150         (net p_33_out (joined
22151          (portref I1 (instanceref bRegPortOutLcl_Data__1__i_3))
22152          (portref Q (instanceref OffsetsDsGen_33__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22153          )
22154         )
22155         (net p_34_out (joined
22156          (portref I1 (instanceref bRegPortOutLcl_Data__2__i_2))
22157          (portref Q (instanceref OffsetsDsGen_34__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22158          )
22159         )
22160         (net p_35_out (joined
22161          (portref I1 (instanceref bRegPortOutLcl_Data__3__i_2))
22162          (portref Q (instanceref OffsetsDsGen_35__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22163          )
22164         )
22165         (net p_36_out (joined
22166          (portref I1 (instanceref bRegPortOutLcl_Data__4__i_2))
22167          (portref Q (instanceref OffsetsDsGen_36__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22168          )
22169         )
22170         (net p_37_out (joined
22171          (portref I1 (instanceref bRegPortOutLcl_Data__5__i_3))
22172          (portref Q (instanceref OffsetsDsGen_37__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22173          )
22174         )
22175         (net p_38_out (joined
22176          (portref I1 (instanceref bRegPortOutLcl_Data__6__i_2))
22177          (portref Q (instanceref OffsetsDsGen_38__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22178          )
22179         )
22180         (net p_39_out (joined
22181          (portref I1 (instanceref bRegPortOutLcl_Data__7__i_2))
22182          (portref Q (instanceref OffsetsDsGen_39__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22183          )
22184         )
22185         (net p_3_out (joined
22186          (portref I0 (instanceref bSpOffsetStored_3__i_1))
22187          (portref Q (instanceref OffsetsDsGen_3__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22188          )
22189         )
22190         (net p_40_out (joined
22191          (portref I0 (instanceref bRpOffsetStored_0__i_1))
22192          (portref Q (instanceref OffsetsDsGen_40__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22193          )
22194         )
22195         (net p_41_out (joined
22196          (portref I0 (instanceref bRpOffsetStored_1__i_1))
22197          (portref Q (instanceref OffsetsDsGen_41__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22198          )
22199         )
22200         (net p_42_out (joined
22201          (portref I0 (instanceref bRpOffsetStored_2__i_1))
22202          (portref Q (instanceref OffsetsDsGen_42__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22203          )
22204         )
22205         (net p_43_out (joined
22206          (portref I0 (instanceref bRpOffsetStored_3__i_1))
22207          (portref Q (instanceref OffsetsDsGen_43__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22208          )
22209         )
22210         (net p_44_out (joined
22211          (portref I0 (instanceref bRpOffsetStored_4__i_1))
22212          (portref Q (instanceref OffsetsDsGen_44__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22213          )
22214         )
22215         (net p_45_out (joined
22216          (portref I0 (instanceref bRpOffsetStored_5__i_1))
22217          (portref Q (instanceref OffsetsDsGen_45__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22218          )
22219         )
22220         (net p_46_out (joined
22221          (portref I0 (instanceref bRpOffsetStored_6__i_1))
22222          (portref Q (instanceref OffsetsDsGen_46__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22223          )
22224         )
22225         (net p_47_out (joined
22226          (portref I0 (instanceref bRpOffsetStored_7__i_1))
22227          (portref Q (instanceref OffsetsDsGen_47__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22228          )
22229         )
22230         (net p_48_out (joined
22231          (portref I0 (instanceref bRpOffsetStored_8__i_1))
22232          (portref Q (instanceref OffsetsDsGen_48__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22233          )
22234         )
22235         (net p_49_out (joined
22236          (portref I0 (instanceref bRpOffsetStored_9__i_1))
22237          (portref Q (instanceref OffsetsDsGen_49__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22238          )
22239         )
22240         (net p_4_out (joined
22241          (portref I0 (instanceref bSpOffsetStored_4__i_1))
22242          (portref Q (instanceref OffsetsDsGen_4__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22243          )
22244         )
22245         (net p_50_out (joined
22246          (portref I0 (instanceref bRpOffsetStored_10__i_1))
22247          (portref Q (instanceref OffsetsDsGen_50__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22248          )
22249         )
22250         (net p_51_out (joined
22251          (portref I0 (instanceref bRpOffsetStored_11__i_1))
22252          (portref Q (instanceref OffsetsDsGen_51__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22253          )
22254         )
22255         (net p_52_out (joined
22256          (portref I0 (instanceref bRpOffsetStored_12__i_1))
22257          (portref Q (instanceref OffsetsDsGen_52__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22258          )
22259         )
22260         (net p_53_out (joined
22261          (portref I0 (instanceref bRpOffsetStored_13__i_1))
22262          (portref Q (instanceref OffsetsDsGen_53__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22263          )
22264         )
22265         (net p_54_out (joined
22266          (portref I0 (instanceref bRpOffsetStored_14__i_1))
22267          (portref Q (instanceref OffsetsDsGen_54__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22268          )
22269         )
22270         (net p_55_out (joined
22271          (portref I0 (instanceref bRpOffsetStored_15__i_1))
22272          (portref Q (instanceref OffsetsDsGen_55__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22273          )
22274         )
22275         (net p_56_out (joined
22276          (portref I0 (instanceref bRpOffsetStored_16__i_1))
22277          (portref Q (instanceref OffsetsDsGen_56__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22278          )
22279         )
22280         (net p_57_out (joined
22281          (portref I0 (instanceref bRpOffsetStored_17__i_1))
22282          (portref Q (instanceref OffsetsDsGen_57__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22283          )
22284         )
22285         (net p_58_out (joined
22286          (portref I0 (instanceref bRpOffsetStored_18__i_1))
22287          (portref Q (instanceref OffsetsDsGen_58__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22288          )
22289         )
22290         (net p_59_out (joined
22291          (portref I0 (instanceref bRpOffsetStored_19__i_1))
22292          (portref Q (instanceref OffsetsDsGen_59__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22293          )
22294         )
22295         (net p_5_out (joined
22296          (portref I0 (instanceref bSpOffsetStored_5__i_1))
22297          (portref Q (instanceref OffsetsDsGen_5__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22298          )
22299         )
22300         (net p_60_out (joined
22301          (portref I0 (instanceref bRpOffsetStored_20__i_1))
22302          (portref Q (instanceref OffsetsDsGen_60__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22303          )
22304         )
22305         (net p_61_out (joined
22306          (portref I0 (instanceref bRpOffsetStored_21__i_1))
22307          (portref Q (instanceref OffsetsDsGen_61__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22308          )
22309         )
22310         (net p_62_out (joined
22311          (portref I0 (instanceref bRpOffsetStored_22__i_1))
22312          (portref Q (instanceref OffsetsDsGen_62__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22313          )
22314         )
22315         (net p_63_out (joined
22316          (portref I0 (instanceref bRpOffsetStored_23__i_1))
22317          (portref Q (instanceref OffsetsDsGen_63__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22318          )
22319         )
22320         (net p_64_out (joined
22321          (portref I0 (instanceref bRpOffsetStored_24__i_1))
22322          (portref Q (instanceref OffsetsDsGen_64__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22323          )
22324         )
22325         (net p_65_out (joined
22326          (portref I0 (instanceref bRpOffsetStored_25__i_1))
22327          (portref Q (instanceref OffsetsDsGen_65__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22328          )
22329         )
22330         (net p_66_out (joined
22331          (portref I0 (instanceref bRpOffsetStored_26__i_1))
22332          (portref Q (instanceref OffsetsDsGen_66__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22333          )
22334         )
22335         (net p_67_out (joined
22336          (portref I0 (instanceref bRpOffsetStored_27__i_1))
22337          (portref Q (instanceref OffsetsDsGen_67__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22338          )
22339         )
22340         (net p_68_out (joined
22341          (portref I0 (instanceref bRpOffsetStored_28__i_1))
22342          (portref Q (instanceref OffsetsDsGen_68__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22343          )
22344         )
22345         (net p_69_out (joined
22346          (portref I0 (instanceref bRpOffsetStored_29__i_1))
22347          (portref Q (instanceref OffsetsDsGen_69__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22348          )
22349         )
22350         (net p_6_out (joined
22351          (portref I0 (instanceref bSpOffsetStored_6__i_1))
22352          (portref Q (instanceref OffsetsDsGen_6__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22353          )
22354         )
22355         (net p_70_out (joined
22356          (portref I0 (instanceref bRpOffsetStored_30__i_1))
22357          (portref Q (instanceref OffsetsDsGen_70__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22358          )
22359         )
22360         (net p_71_out (joined
22361          (portref I0 (instanceref bRpOffsetStored_31__i_1))
22362          (portref Q (instanceref OffsetsDsGen_71__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22363          )
22364         )
22365         (net p_72_out (joined
22366          (portref I0 (instanceref bRpOffsetStored_32__i_1))
22367          (portref Q (instanceref OffsetsDsGen_72__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22368          )
22369         )
22370         (net p_73_out (joined
22371          (portref I0 (instanceref bRpOffsetStored_33__i_1))
22372          (portref Q (instanceref OffsetsDsGen_73__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22373          )
22374         )
22375         (net p_74_out (joined
22376          (portref I0 (instanceref bRpOffsetStored_34__i_1))
22377          (portref Q (instanceref OffsetsDsGen_74__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22378          )
22379         )
22380         (net p_75_out (joined
22381          (portref I0 (instanceref bRpOffsetStored_35__i_1))
22382          (portref Q (instanceref OffsetsDsGen_75__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22383          )
22384         )
22385         (net p_76_out (joined
22386          (portref I0 (instanceref bRpOffsetStored_36__i_1))
22387          (portref Q (instanceref OffsetsDsGen_76__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22388          )
22389         )
22390         (net p_77_out (joined
22391          (portref I0 (instanceref bRpOffsetStored_37__i_1))
22392          (portref Q (instanceref OffsetsDsGen_77__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22393          )
22394         )
22395         (net p_78_out (joined
22396          (portref I0 (instanceref bRpOffsetStored_38__i_1))
22397          (portref Q (instanceref OffsetsDsGen_78__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22398          )
22399         )
22400         (net p_79_out (joined
22401          (portref I0 (instanceref bRpOffsetStored_39__i_2))
22402          (portref Q (instanceref OffsetsDsGen_79__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22403          )
22404         )
22405         (net p_7_out (joined
22406          (portref I0 (instanceref bSpOffsetStored_7__i_1))
22407          (portref Q (instanceref OffsetsDsGen_7__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22408          )
22409         )
22410         (net p_8_out (joined
22411          (portref I0 (instanceref bSpOffsetStored_8__i_1))
22412          (portref Q (instanceref OffsetsDsGen_8__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22413          )
22414         )
22415         (net p_9_out (joined
22416          (portref I0 (instanceref bSpOffsetStored_9__i_1))
22417          (portref Q (instanceref OffsetsDsGen_9__OffsetsDs_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22418          )
22419         )
22420         (net rEnablePpsCrossing (joined
22421          (portref Q (instanceref PpsCrossEnDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22422          (portref rEnablePpsCrossing)
22423          )
22424         )
22425         (net rEnableTdc (joined
22426          (portref Q (instanceref EnableTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22427          (portref rEnableTdc)
22428          )
22429         )
22430         (net rLoadRePulseCounts (joined
22431          (portref Q (instanceref RePulse2CntHs_BlkOut_oDataValid_reg))
22432          (portref rLoadRePulseCounts)
22433          )
22434         )
22435         (net rLoadRpCounts (joined
22436          (portref Q (instanceref RpCntHs_BlkOut_oDataValid_reg))
22437          (portref rLoadRpCounts)
22438          )
22439         )
22440         (net rLoadRptCounts (joined
22441          (portref Q (instanceref RptCntHs_BlkOut_oDataValid_reg))
22442          (portref rLoadRptCounts)
22443          )
22444         )
22445         (net rPpsPulseCaptured (joined
22446          (portref D (instanceref PpsCapturedDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
22447          (portref rPpsPulseCaptured)
22448          )
22449         )
22450         (net (rename rPulserEnableDelayValTemp_0_ "rPulserEnableDelayValTemp[0]") (joined
22451          (portref D (instanceref rPulserEnableDelayVal_reg_0_))
22452          (portref Q (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
22453          )
22454         )
22455         (net (rename rPulserEnableDelayValTemp_1_ "rPulserEnableDelayValTemp[1]") (joined
22456          (portref D (instanceref rPulserEnableDelayVal_reg_1_))
22457          (portref Q (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
22458          )
22459         )
22460         (net (rename rPulserEnableDelayValTemp_2_ "rPulserEnableDelayValTemp[2]") (joined
22461          (portref D (instanceref rPulserEnableDelayVal_reg_2_))
22462          (portref Q (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
22463          )
22464         )
22465         (net (rename rPulserEnableDelayValTemp_3_ "rPulserEnableDelayValTemp[3]") (joined
22466          (portref D (instanceref rPulserEnableDelayVal_reg_3_))
22467          (portref Q (instanceref PulserEnableDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
22468          )
22469         )
22470         (net (rename rPulserEnableDelayVal_0_ "rPulserEnableDelayVal[0]") (joined
22471          (portref Q (instanceref rPulserEnableDelayVal_reg_0_))
22472          (portref (member rPulserEnableDelayVal 3))
22473          )
22474         )
22475         (net (rename rPulserEnableDelayVal_1_ "rPulserEnableDelayVal[1]") (joined
22476          (portref Q (instanceref rPulserEnableDelayVal_reg_1_))
22477          (portref (member rPulserEnableDelayVal 2))
22478          )
22479         )
22480         (net (rename rPulserEnableDelayVal_2_ "rPulserEnableDelayVal[2]") (joined
22481          (portref Q (instanceref rPulserEnableDelayVal_reg_2_))
22482          (portref (member rPulserEnableDelayVal 1))
22483          )
22484         )
22485         (net (rename rPulserEnableDelayVal_3_ "rPulserEnableDelayVal[3]") (joined
22486          (portref Q (instanceref rPulserEnableDelayVal_reg_3_))
22487          (portref (member rPulserEnableDelayVal 0))
22488          )
22489         )
22490         (net (rename rRePulseHighTimeInRClks_0_ "rRePulseHighTimeInRClks[0]") (joined
22491          (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
22492          (portref (member rRePulseHighTimeInRClks 23))
22493          )
22494         )
22495         (net (rename rRePulseHighTimeInRClks_10_ "rRePulseHighTimeInRClks[10]") (joined
22496          (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
22497          (portref (member rRePulseHighTimeInRClks 13))
22498          )
22499         )
22500         (net (rename rRePulseHighTimeInRClks_11_ "rRePulseHighTimeInRClks[11]") (joined
22501          (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
22502          (portref (member rRePulseHighTimeInRClks 12))
22503          )
22504         )
22505         (net (rename rRePulseHighTimeInRClks_12_ "rRePulseHighTimeInRClks[12]") (joined
22506          (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
22507          (portref (member rRePulseHighTimeInRClks 11))
22508          )
22509         )
22510         (net (rename rRePulseHighTimeInRClks_13_ "rRePulseHighTimeInRClks[13]") (joined
22511          (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
22512          (portref (member rRePulseHighTimeInRClks 10))
22513          )
22514         )
22515         (net (rename rRePulseHighTimeInRClks_14_ "rRePulseHighTimeInRClks[14]") (joined
22516          (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
22517          (portref (member rRePulseHighTimeInRClks 9))
22518          )
22519         )
22520         (net (rename rRePulseHighTimeInRClks_15_ "rRePulseHighTimeInRClks[15]") (joined
22521          (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
22522          (portref (member rRePulseHighTimeInRClks 8))
22523          )
22524         )
22525         (net (rename rRePulseHighTimeInRClks_16_ "rRePulseHighTimeInRClks[16]") (joined
22526          (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
22527          (portref (member rRePulseHighTimeInRClks 7))
22528          )
22529         )
22530         (net (rename rRePulseHighTimeInRClks_17_ "rRePulseHighTimeInRClks[17]") (joined
22531          (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
22532          (portref (member rRePulseHighTimeInRClks 6))
22533          )
22534         )
22535         (net (rename rRePulseHighTimeInRClks_18_ "rRePulseHighTimeInRClks[18]") (joined
22536          (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
22537          (portref (member rRePulseHighTimeInRClks 5))
22538          )
22539         )
22540         (net (rename rRePulseHighTimeInRClks_19_ "rRePulseHighTimeInRClks[19]") (joined
22541          (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
22542          (portref (member rRePulseHighTimeInRClks 4))
22543          )
22544         )
22545         (net (rename rRePulseHighTimeInRClks_1_ "rRePulseHighTimeInRClks[1]") (joined
22546          (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
22547          (portref (member rRePulseHighTimeInRClks 22))
22548          )
22549         )
22550         (net (rename rRePulseHighTimeInRClks_20_ "rRePulseHighTimeInRClks[20]") (joined
22551          (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
22552          (portref (member rRePulseHighTimeInRClks 3))
22553          )
22554         )
22555         (net (rename rRePulseHighTimeInRClks_21_ "rRePulseHighTimeInRClks[21]") (joined
22556          (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
22557          (portref (member rRePulseHighTimeInRClks 2))
22558          )
22559         )
22560         (net (rename rRePulseHighTimeInRClks_22_ "rRePulseHighTimeInRClks[22]") (joined
22561          (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
22562          (portref (member rRePulseHighTimeInRClks 1))
22563          )
22564         )
22565         (net (rename rRePulseHighTimeInRClks_2_ "rRePulseHighTimeInRClks[2]") (joined
22566          (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
22567          (portref (member rRePulseHighTimeInRClks 21))
22568          )
22569         )
22570         (net (rename rRePulseHighTimeInRClks_3_ "rRePulseHighTimeInRClks[3]") (joined
22571          (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
22572          (portref (member rRePulseHighTimeInRClks 20))
22573          )
22574         )
22575         (net (rename rRePulseHighTimeInRClks_4_ "rRePulseHighTimeInRClks[4]") (joined
22576          (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
22577          (portref (member rRePulseHighTimeInRClks 19))
22578          )
22579         )
22580         (net (rename rRePulseHighTimeInRClks_5_ "rRePulseHighTimeInRClks[5]") (joined
22581          (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
22582          (portref (member rRePulseHighTimeInRClks 18))
22583          )
22584         )
22585         (net (rename rRePulseHighTimeInRClks_6_ "rRePulseHighTimeInRClks[6]") (joined
22586          (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
22587          (portref (member rRePulseHighTimeInRClks 17))
22588          )
22589         )
22590         (net (rename rRePulseHighTimeInRClks_7_ "rRePulseHighTimeInRClks[7]") (joined
22591          (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
22592          (portref (member rRePulseHighTimeInRClks 16))
22593          )
22594         )
22595         (net (rename rRePulseHighTimeInRClks_8_ "rRePulseHighTimeInRClks[8]") (joined
22596          (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
22597          (portref (member rRePulseHighTimeInRClks 15))
22598          )
22599         )
22600         (net (rename rRePulseHighTimeInRClks_9_ "rRePulseHighTimeInRClks[9]") (joined
22601          (portref Q (instanceref RePulse2CntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
22602          (portref (member rRePulseHighTimeInRClks 14))
22603          )
22604         )
22605         (net (rename rRePulsePeriodInRClks_0_ "rRePulsePeriodInRClks[0]") (joined
22606          (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
22607          (portref (member rRePulsePeriodInRClks 23))
22608          )
22609         )
22610         (net (rename rRePulsePeriodInRClks_10_ "rRePulsePeriodInRClks[10]") (joined
22611          (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
22612          (portref (member rRePulsePeriodInRClks 13))
22613          )
22614         )
22615         (net (rename rRePulsePeriodInRClks_11_ "rRePulsePeriodInRClks[11]") (joined
22616          (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
22617          (portref (member rRePulsePeriodInRClks 12))
22618          )
22619         )
22620         (net (rename rRePulsePeriodInRClks_12_ "rRePulsePeriodInRClks[12]") (joined
22621          (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
22622          (portref (member rRePulsePeriodInRClks 11))
22623          )
22624         )
22625         (net (rename rRePulsePeriodInRClks_13_ "rRePulsePeriodInRClks[13]") (joined
22626          (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
22627          (portref (member rRePulsePeriodInRClks 10))
22628          )
22629         )
22630         (net (rename rRePulsePeriodInRClks_14_ "rRePulsePeriodInRClks[14]") (joined
22631          (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
22632          (portref (member rRePulsePeriodInRClks 9))
22633          )
22634         )
22635         (net (rename rRePulsePeriodInRClks_15_ "rRePulsePeriodInRClks[15]") (joined
22636          (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
22637          (portref (member rRePulsePeriodInRClks 8))
22638          )
22639         )
22640         (net (rename rRePulsePeriodInRClks_16_ "rRePulsePeriodInRClks[16]") (joined
22641          (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
22642          (portref (member rRePulsePeriodInRClks 7))
22643          )
22644         )
22645         (net (rename rRePulsePeriodInRClks_17_ "rRePulsePeriodInRClks[17]") (joined
22646          (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
22647          (portref (member rRePulsePeriodInRClks 6))
22648          )
22649         )
22650         (net (rename rRePulsePeriodInRClks_18_ "rRePulsePeriodInRClks[18]") (joined
22651          (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
22652          (portref (member rRePulsePeriodInRClks 5))
22653          )
22654         )
22655         (net (rename rRePulsePeriodInRClks_19_ "rRePulsePeriodInRClks[19]") (joined
22656          (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
22657          (portref (member rRePulsePeriodInRClks 4))
22658          )
22659         )
22660         (net (rename rRePulsePeriodInRClks_1_ "rRePulsePeriodInRClks[1]") (joined
22661          (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
22662          (portref (member rRePulsePeriodInRClks 22))
22663          )
22664         )
22665         (net (rename rRePulsePeriodInRClks_20_ "rRePulsePeriodInRClks[20]") (joined
22666          (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
22667          (portref (member rRePulsePeriodInRClks 3))
22668          )
22669         )
22670         (net (rename rRePulsePeriodInRClks_21_ "rRePulsePeriodInRClks[21]") (joined
22671          (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
22672          (portref (member rRePulsePeriodInRClks 2))
22673          )
22674         )
22675         (net (rename rRePulsePeriodInRClks_22_ "rRePulsePeriodInRClks[22]") (joined
22676          (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
22677          (portref (member rRePulsePeriodInRClks 1))
22678          )
22679         )
22680         (net (rename rRePulsePeriodInRClks_23_ "rRePulsePeriodInRClks[23]") (joined
22681          (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
22682          (portref (member rRePulsePeriodInRClks 0))
22683          )
22684         )
22685         (net (rename rRePulsePeriodInRClks_2_ "rRePulsePeriodInRClks[2]") (joined
22686          (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
22687          (portref (member rRePulsePeriodInRClks 21))
22688          )
22689         )
22690         (net (rename rRePulsePeriodInRClks_3_ "rRePulsePeriodInRClks[3]") (joined
22691          (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
22692          (portref (member rRePulsePeriodInRClks 20))
22693          )
22694         )
22695         (net (rename rRePulsePeriodInRClks_4_ "rRePulsePeriodInRClks[4]") (joined
22696          (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
22697          (portref (member rRePulsePeriodInRClks 19))
22698          )
22699         )
22700         (net (rename rRePulsePeriodInRClks_5_ "rRePulsePeriodInRClks[5]") (joined
22701          (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
22702          (portref (member rRePulsePeriodInRClks 18))
22703          )
22704         )
22705         (net (rename rRePulsePeriodInRClks_6_ "rRePulsePeriodInRClks[6]") (joined
22706          (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
22707          (portref (member rRePulsePeriodInRClks 17))
22708          )
22709         )
22710         (net (rename rRePulsePeriodInRClks_7_ "rRePulsePeriodInRClks[7]") (joined
22711          (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
22712          (portref (member rRePulsePeriodInRClks 16))
22713          )
22714         )
22715         (net (rename rRePulsePeriodInRClks_8_ "rRePulsePeriodInRClks[8]") (joined
22716          (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
22717          (portref (member rRePulsePeriodInRClks 15))
22718          )
22719         )
22720         (net (rename rRePulsePeriodInRClks_9_ "rRePulsePeriodInRClks[9]") (joined
22721          (portref Q (instanceref RePulse1CntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
22722          (portref (member rRePulsePeriodInRClks 14))
22723          )
22724         )
22725         (net rReRunEnable (joined
22726          (portref Q (instanceref ReRunEnableDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22727          (portref rReRunEnable)
22728          )
22729         )
22730         (net rResetTdc (joined
22731          (portref Q (instanceref ResetTdcDs_DoubleSyncBasex_DoubleSyncAsyncInBasex_oSigx_Gen0_FDCEx))
22732          (portref rResetTdc)
22733          )
22734         )
22735         (net rResetTdcDone (joined
22736          (portref D (instanceref ResetDoneDs_DoubleSyncBasex_iDlySigx_Gen0_FDCEx))
22737          (portref rResetTdcDone)
22738          )
22739         )
22740         (net (rename rRpHighTimeInRClks_0_ "rRpHighTimeInRClks[0]") (joined
22741          (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
22742          (portref (member rRpHighTimeInRClks 15))
22743          )
22744         )
22745         (net (rename rRpHighTimeInRClks_10_ "rRpHighTimeInRClks[10]") (joined
22746          (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
22747          (portref (member rRpHighTimeInRClks 5))
22748          )
22749         )
22750         (net (rename rRpHighTimeInRClks_11_ "rRpHighTimeInRClks[11]") (joined
22751          (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
22752          (portref (member rRpHighTimeInRClks 4))
22753          )
22754         )
22755         (net (rename rRpHighTimeInRClks_12_ "rRpHighTimeInRClks[12]") (joined
22756          (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
22757          (portref (member rRpHighTimeInRClks 3))
22758          )
22759         )
22760         (net (rename rRpHighTimeInRClks_13_ "rRpHighTimeInRClks[13]") (joined
22761          (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
22762          (portref (member rRpHighTimeInRClks 2))
22763          )
22764         )
22765         (net (rename rRpHighTimeInRClks_14_ "rRpHighTimeInRClks[14]") (joined
22766          (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
22767          (portref (member rRpHighTimeInRClks 1))
22768          )
22769         )
22770         (net (rename rRpHighTimeInRClks_1_ "rRpHighTimeInRClks[1]") (joined
22771          (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
22772          (portref (member rRpHighTimeInRClks 14))
22773          )
22774         )
22775         (net (rename rRpHighTimeInRClks_2_ "rRpHighTimeInRClks[2]") (joined
22776          (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
22777          (portref (member rRpHighTimeInRClks 13))
22778          )
22779         )
22780         (net (rename rRpHighTimeInRClks_3_ "rRpHighTimeInRClks[3]") (joined
22781          (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
22782          (portref (member rRpHighTimeInRClks 12))
22783          )
22784         )
22785         (net (rename rRpHighTimeInRClks_4_ "rRpHighTimeInRClks[4]") (joined
22786          (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
22787          (portref (member rRpHighTimeInRClks 11))
22788          )
22789         )
22790         (net (rename rRpHighTimeInRClks_5_ "rRpHighTimeInRClks[5]") (joined
22791          (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
22792          (portref (member rRpHighTimeInRClks 10))
22793          )
22794         )
22795         (net (rename rRpHighTimeInRClks_6_ "rRpHighTimeInRClks[6]") (joined
22796          (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
22797          (portref (member rRpHighTimeInRClks 9))
22798          )
22799         )
22800         (net (rename rRpHighTimeInRClks_7_ "rRpHighTimeInRClks[7]") (joined
22801          (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
22802          (portref (member rRpHighTimeInRClks 8))
22803          )
22804         )
22805         (net (rename rRpHighTimeInRClks_8_ "rRpHighTimeInRClks[8]") (joined
22806          (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
22807          (portref (member rRpHighTimeInRClks 7))
22808          )
22809         )
22810         (net (rename rRpHighTimeInRClks_9_ "rRpHighTimeInRClks[9]") (joined
22811          (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
22812          (portref (member rRpHighTimeInRClks 6))
22813          )
22814         )
22815         (net (rename rRpPeriodInRClks_0_ "rRpPeriodInRClks[0]") (joined
22816          (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
22817          (portref (member rRpPeriodInRClks 15))
22818          )
22819         )
22820         (net (rename rRpPeriodInRClks_10_ "rRpPeriodInRClks[10]") (joined
22821          (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
22822          (portref (member rRpPeriodInRClks 5))
22823          )
22824         )
22825         (net (rename rRpPeriodInRClks_11_ "rRpPeriodInRClks[11]") (joined
22826          (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
22827          (portref (member rRpPeriodInRClks 4))
22828          )
22829         )
22830         (net (rename rRpPeriodInRClks_12_ "rRpPeriodInRClks[12]") (joined
22831          (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
22832          (portref (member rRpPeriodInRClks 3))
22833          )
22834         )
22835         (net (rename rRpPeriodInRClks_13_ "rRpPeriodInRClks[13]") (joined
22836          (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
22837          (portref (member rRpPeriodInRClks 2))
22838          )
22839         )
22840         (net (rename rRpPeriodInRClks_14_ "rRpPeriodInRClks[14]") (joined
22841          (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
22842          (portref (member rRpPeriodInRClks 1))
22843          )
22844         )
22845         (net (rename rRpPeriodInRClks_15_ "rRpPeriodInRClks[15]") (joined
22846          (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
22847          (portref (member rRpPeriodInRClks 0))
22848          )
22849         )
22850         (net (rename rRpPeriodInRClks_1_ "rRpPeriodInRClks[1]") (joined
22851          (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
22852          (portref (member rRpPeriodInRClks 14))
22853          )
22854         )
22855         (net (rename rRpPeriodInRClks_2_ "rRpPeriodInRClks[2]") (joined
22856          (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
22857          (portref (member rRpPeriodInRClks 13))
22858          )
22859         )
22860         (net (rename rRpPeriodInRClks_3_ "rRpPeriodInRClks[3]") (joined
22861          (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
22862          (portref (member rRpPeriodInRClks 12))
22863          )
22864         )
22865         (net (rename rRpPeriodInRClks_4_ "rRpPeriodInRClks[4]") (joined
22866          (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
22867          (portref (member rRpPeriodInRClks 11))
22868          )
22869         )
22870         (net (rename rRpPeriodInRClks_5_ "rRpPeriodInRClks[5]") (joined
22871          (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
22872          (portref (member rRpPeriodInRClks 10))
22873          )
22874         )
22875         (net (rename rRpPeriodInRClks_6_ "rRpPeriodInRClks[6]") (joined
22876          (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
22877          (portref (member rRpPeriodInRClks 9))
22878          )
22879         )
22880         (net (rename rRpPeriodInRClks_7_ "rRpPeriodInRClks[7]") (joined
22881          (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
22882          (portref (member rRpPeriodInRClks 8))
22883          )
22884         )
22885         (net (rename rRpPeriodInRClks_8_ "rRpPeriodInRClks[8]") (joined
22886          (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
22887          (portref (member rRpPeriodInRClks 7))
22888          )
22889         )
22890         (net (rename rRpPeriodInRClks_9_ "rRpPeriodInRClks[9]") (joined
22891          (portref Q (instanceref RpCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
22892          (portref (member rRpPeriodInRClks 6))
22893          )
22894         )
22895         (net (rename rRptHighTimeInRClks_0_ "rRptHighTimeInRClks[0]") (joined
22896          (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
22897          (portref (member rRptHighTimeInRClks 15))
22898          )
22899         )
22900         (net (rename rRptHighTimeInRClks_10_ "rRptHighTimeInRClks[10]") (joined
22901          (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
22902          (portref (member rRptHighTimeInRClks 5))
22903          )
22904         )
22905         (net (rename rRptHighTimeInRClks_11_ "rRptHighTimeInRClks[11]") (joined
22906          (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
22907          (portref (member rRptHighTimeInRClks 4))
22908          )
22909         )
22910         (net (rename rRptHighTimeInRClks_12_ "rRptHighTimeInRClks[12]") (joined
22911          (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
22912          (portref (member rRptHighTimeInRClks 3))
22913          )
22914         )
22915         (net (rename rRptHighTimeInRClks_13_ "rRptHighTimeInRClks[13]") (joined
22916          (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
22917          (portref (member rRptHighTimeInRClks 2))
22918          )
22919         )
22920         (net (rename rRptHighTimeInRClks_14_ "rRptHighTimeInRClks[14]") (joined
22921          (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
22922          (portref (member rRptHighTimeInRClks 1))
22923          )
22924         )
22925         (net (rename rRptHighTimeInRClks_1_ "rRptHighTimeInRClks[1]") (joined
22926          (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
22927          (portref (member rRptHighTimeInRClks 14))
22928          )
22929         )
22930         (net (rename rRptHighTimeInRClks_2_ "rRptHighTimeInRClks[2]") (joined
22931          (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
22932          (portref (member rRptHighTimeInRClks 13))
22933          )
22934         )
22935         (net (rename rRptHighTimeInRClks_3_ "rRptHighTimeInRClks[3]") (joined
22936          (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
22937          (portref (member rRptHighTimeInRClks 12))
22938          )
22939         )
22940         (net (rename rRptHighTimeInRClks_4_ "rRptHighTimeInRClks[4]") (joined
22941          (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
22942          (portref (member rRptHighTimeInRClks 11))
22943          )
22944         )
22945         (net (rename rRptHighTimeInRClks_5_ "rRptHighTimeInRClks[5]") (joined
22946          (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
22947          (portref (member rRptHighTimeInRClks 10))
22948          )
22949         )
22950         (net (rename rRptHighTimeInRClks_6_ "rRptHighTimeInRClks[6]") (joined
22951          (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
22952          (portref (member rRptHighTimeInRClks 9))
22953          )
22954         )
22955         (net (rename rRptHighTimeInRClks_7_ "rRptHighTimeInRClks[7]") (joined
22956          (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
22957          (portref (member rRptHighTimeInRClks 8))
22958          )
22959         )
22960         (net (rename rRptHighTimeInRClks_8_ "rRptHighTimeInRClks[8]") (joined
22961          (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
22962          (portref (member rRptHighTimeInRClks 7))
22963          )
22964         )
22965         (net (rename rRptHighTimeInRClks_9_ "rRptHighTimeInRClks[9]") (joined
22966          (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
22967          (portref (member rRptHighTimeInRClks 6))
22968          )
22969         )
22970         (net (rename rRptPeriodInRClks_0_ "rRptPeriodInRClks[0]") (joined
22971          (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
22972          (portref (member rRptPeriodInRClks 15))
22973          )
22974         )
22975         (net (rename rRptPeriodInRClks_10_ "rRptPeriodInRClks[10]") (joined
22976          (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
22977          (portref (member rRptPeriodInRClks 5))
22978          )
22979         )
22980         (net (rename rRptPeriodInRClks_11_ "rRptPeriodInRClks[11]") (joined
22981          (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
22982          (portref (member rRptPeriodInRClks 4))
22983          )
22984         )
22985         (net (rename rRptPeriodInRClks_12_ "rRptPeriodInRClks[12]") (joined
22986          (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
22987          (portref (member rRptPeriodInRClks 3))
22988          )
22989         )
22990         (net (rename rRptPeriodInRClks_13_ "rRptPeriodInRClks[13]") (joined
22991          (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
22992          (portref (member rRptPeriodInRClks 2))
22993          )
22994         )
22995         (net (rename rRptPeriodInRClks_14_ "rRptPeriodInRClks[14]") (joined
22996          (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
22997          (portref (member rRptPeriodInRClks 1))
22998          )
22999         )
23000         (net (rename rRptPeriodInRClks_15_ "rRptPeriodInRClks[15]") (joined
23001          (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
23002          (portref (member rRptPeriodInRClks 0))
23003          )
23004         )
23005         (net (rename rRptPeriodInRClks_1_ "rRptPeriodInRClks[1]") (joined
23006          (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
23007          (portref (member rRptPeriodInRClks 14))
23008          )
23009         )
23010         (net (rename rRptPeriodInRClks_2_ "rRptPeriodInRClks[2]") (joined
23011          (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
23012          (portref (member rRptPeriodInRClks 13))
23013          )
23014         )
23015         (net (rename rRptPeriodInRClks_3_ "rRptPeriodInRClks[3]") (joined
23016          (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
23017          (portref (member rRptPeriodInRClks 12))
23018          )
23019         )
23020         (net (rename rRptPeriodInRClks_4_ "rRptPeriodInRClks[4]") (joined
23021          (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
23022          (portref (member rRptPeriodInRClks 11))
23023          )
23024         )
23025         (net (rename rRptPeriodInRClks_5_ "rRptPeriodInRClks[5]") (joined
23026          (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
23027          (portref (member rRptPeriodInRClks 10))
23028          )
23029         )
23030         (net (rename rRptPeriodInRClks_6_ "rRptPeriodInRClks[6]") (joined
23031          (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
23032          (portref (member rRptPeriodInRClks 9))
23033          )
23034         )
23035         (net (rename rRptPeriodInRClks_7_ "rRptPeriodInRClks[7]") (joined
23036          (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
23037          (portref (member rRptPeriodInRClks 8))
23038          )
23039         )
23040         (net (rename rRptPeriodInRClks_8_ "rRptPeriodInRClks[8]") (joined
23041          (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
23042          (portref (member rRptPeriodInRClks 7))
23043          )
23044         )
23045         (net (rename rRptPeriodInRClks_9_ "rRptPeriodInRClks[9]") (joined
23046          (portref Q (instanceref RptCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
23047          (portref (member rRptPeriodInRClks 6))
23048          )
23049         )
23050         (net sLoadSpCounts (joined
23051          (portref Q (instanceref SpCntHs_BlkOut_oDataValid_reg))
23052          (portref sLoadSpCounts)
23053          )
23054         )
23055         (net sLoadSptCounts (joined
23056          (portref Q (instanceref SptCntHs_BlkOut_oDataValid_reg))
23057          (portref sLoadSptCounts)
23058          )
23059         )
23060         (net (rename sPpsClkCrossDelayValTemp_0_ "sPpsClkCrossDelayValTemp[0]") (joined
23061          (portref D (instanceref sPpsClkCrossDelayVal_reg_0_))
23062          (portref Q (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
23063          )
23064         )
23065         (net (rename sPpsClkCrossDelayValTemp_1_ "sPpsClkCrossDelayValTemp[1]") (joined
23066          (portref D (instanceref sPpsClkCrossDelayVal_reg_1_))
23067          (portref Q (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
23068          )
23069         )
23070         (net (rename sPpsClkCrossDelayValTemp_2_ "sPpsClkCrossDelayValTemp[2]") (joined
23071          (portref D (instanceref sPpsClkCrossDelayVal_reg_2_))
23072          (portref Q (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
23073          )
23074         )
23075         (net (rename sPpsClkCrossDelayValTemp_3_ "sPpsClkCrossDelayValTemp[3]") (joined
23076          (portref D (instanceref sPpsClkCrossDelayVal_reg_3_))
23077          (portref Q (instanceref PpsDelayValCrossingHs_HBx_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
23078          )
23079         )
23080         (net (rename sPpsClkCrossDelayVal_0_ "sPpsClkCrossDelayVal[0]") (joined
23081          (portref Q (instanceref sPpsClkCrossDelayVal_reg_0_))
23082          (portref (member sPpsClkCrossDelayVal 3))
23083          )
23084         )
23085         (net (rename sPpsClkCrossDelayVal_1_ "sPpsClkCrossDelayVal[1]") (joined
23086          (portref Q (instanceref sPpsClkCrossDelayVal_reg_1_))
23087          (portref (member sPpsClkCrossDelayVal 2))
23088          )
23089         )
23090         (net (rename sPpsClkCrossDelayVal_2_ "sPpsClkCrossDelayVal[2]") (joined
23091          (portref Q (instanceref sPpsClkCrossDelayVal_reg_2_))
23092          (portref (member sPpsClkCrossDelayVal 1))
23093          )
23094         )
23095         (net (rename sPpsClkCrossDelayVal_3_ "sPpsClkCrossDelayVal[3]") (joined
23096          (portref Q (instanceref sPpsClkCrossDelayVal_reg_3_))
23097          (portref (member sPpsClkCrossDelayVal 0))
23098          )
23099         )
23100         (net (rename sSpHighTimeInSClks_0_ "sSpHighTimeInSClks[0]") (joined
23101          (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
23102          (portref (member sSpHighTimeInSClks 15))
23103          )
23104         )
23105         (net (rename sSpHighTimeInSClks_10_ "sSpHighTimeInSClks[10]") (joined
23106          (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
23107          (portref (member sSpHighTimeInSClks 5))
23108          )
23109         )
23110         (net (rename sSpHighTimeInSClks_11_ "sSpHighTimeInSClks[11]") (joined
23111          (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
23112          (portref (member sSpHighTimeInSClks 4))
23113          )
23114         )
23115         (net (rename sSpHighTimeInSClks_12_ "sSpHighTimeInSClks[12]") (joined
23116          (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
23117          (portref (member sSpHighTimeInSClks 3))
23118          )
23119         )
23120         (net (rename sSpHighTimeInSClks_13_ "sSpHighTimeInSClks[13]") (joined
23121          (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
23122          (portref (member sSpHighTimeInSClks 2))
23123          )
23124         )
23125         (net (rename sSpHighTimeInSClks_14_ "sSpHighTimeInSClks[14]") (joined
23126          (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
23127          (portref (member sSpHighTimeInSClks 1))
23128          )
23129         )
23130         (net (rename sSpHighTimeInSClks_1_ "sSpHighTimeInSClks[1]") (joined
23131          (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
23132          (portref (member sSpHighTimeInSClks 14))
23133          )
23134         )
23135         (net (rename sSpHighTimeInSClks_2_ "sSpHighTimeInSClks[2]") (joined
23136          (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
23137          (portref (member sSpHighTimeInSClks 13))
23138          )
23139         )
23140         (net (rename sSpHighTimeInSClks_3_ "sSpHighTimeInSClks[3]") (joined
23141          (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
23142          (portref (member sSpHighTimeInSClks 12))
23143          )
23144         )
23145         (net (rename sSpHighTimeInSClks_4_ "sSpHighTimeInSClks[4]") (joined
23146          (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
23147          (portref (member sSpHighTimeInSClks 11))
23148          )
23149         )
23150         (net (rename sSpHighTimeInSClks_5_ "sSpHighTimeInSClks[5]") (joined
23151          (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
23152          (portref (member sSpHighTimeInSClks 10))
23153          )
23154         )
23155         (net (rename sSpHighTimeInSClks_6_ "sSpHighTimeInSClks[6]") (joined
23156          (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
23157          (portref (member sSpHighTimeInSClks 9))
23158          )
23159         )
23160         (net (rename sSpHighTimeInSClks_7_ "sSpHighTimeInSClks[7]") (joined
23161          (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
23162          (portref (member sSpHighTimeInSClks 8))
23163          )
23164         )
23165         (net (rename sSpHighTimeInSClks_8_ "sSpHighTimeInSClks[8]") (joined
23166          (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
23167          (portref (member sSpHighTimeInSClks 7))
23168          )
23169         )
23170         (net (rename sSpHighTimeInSClks_9_ "sSpHighTimeInSClks[9]") (joined
23171          (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
23172          (portref (member sSpHighTimeInSClks 6))
23173          )
23174         )
23175         (net (rename sSpPeriodInSClks_0_ "sSpPeriodInSClks[0]") (joined
23176          (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
23177          (portref (member sSpPeriodInSClks 15))
23178          )
23179         )
23180         (net (rename sSpPeriodInSClks_10_ "sSpPeriodInSClks[10]") (joined
23181          (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
23182          (portref (member sSpPeriodInSClks 5))
23183          )
23184         )
23185         (net (rename sSpPeriodInSClks_11_ "sSpPeriodInSClks[11]") (joined
23186          (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
23187          (portref (member sSpPeriodInSClks 4))
23188          )
23189         )
23190         (net (rename sSpPeriodInSClks_12_ "sSpPeriodInSClks[12]") (joined
23191          (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
23192          (portref (member sSpPeriodInSClks 3))
23193          )
23194         )
23195         (net (rename sSpPeriodInSClks_13_ "sSpPeriodInSClks[13]") (joined
23196          (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
23197          (portref (member sSpPeriodInSClks 2))
23198          )
23199         )
23200         (net (rename sSpPeriodInSClks_14_ "sSpPeriodInSClks[14]") (joined
23201          (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
23202          (portref (member sSpPeriodInSClks 1))
23203          )
23204         )
23205         (net (rename sSpPeriodInSClks_15_ "sSpPeriodInSClks[15]") (joined
23206          (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
23207          (portref (member sSpPeriodInSClks 0))
23208          )
23209         )
23210         (net (rename sSpPeriodInSClks_1_ "sSpPeriodInSClks[1]") (joined
23211          (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
23212          (portref (member sSpPeriodInSClks 14))
23213          )
23214         )
23215         (net (rename sSpPeriodInSClks_2_ "sSpPeriodInSClks[2]") (joined
23216          (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
23217          (portref (member sSpPeriodInSClks 13))
23218          )
23219         )
23220         (net (rename sSpPeriodInSClks_3_ "sSpPeriodInSClks[3]") (joined
23221          (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
23222          (portref (member sSpPeriodInSClks 12))
23223          )
23224         )
23225         (net (rename sSpPeriodInSClks_4_ "sSpPeriodInSClks[4]") (joined
23226          (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
23227          (portref (member sSpPeriodInSClks 11))
23228          )
23229         )
23230         (net (rename sSpPeriodInSClks_5_ "sSpPeriodInSClks[5]") (joined
23231          (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
23232          (portref (member sSpPeriodInSClks 10))
23233          )
23234         )
23235         (net (rename sSpPeriodInSClks_6_ "sSpPeriodInSClks[6]") (joined
23236          (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
23237          (portref (member sSpPeriodInSClks 9))
23238          )
23239         )
23240         (net (rename sSpPeriodInSClks_7_ "sSpPeriodInSClks[7]") (joined
23241          (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
23242          (portref (member sSpPeriodInSClks 8))
23243          )
23244         )
23245         (net (rename sSpPeriodInSClks_8_ "sSpPeriodInSClks[8]") (joined
23246          (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
23247          (portref (member sSpPeriodInSClks 7))
23248          )
23249         )
23250         (net (rename sSpPeriodInSClks_9_ "sSpPeriodInSClks[9]") (joined
23251          (portref Q (instanceref SpCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
23252          (portref (member sSpPeriodInSClks 6))
23253          )
23254         )
23255         (net (rename sSptHighTimeInSClks_0_ "sSptHighTimeInSClks[0]") (joined
23256          (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_16__DFlopx_Gen0_FDCEx))
23257          (portref (member sSptHighTimeInSClks 15))
23258          )
23259         )
23260         (net (rename sSptHighTimeInSClks_10_ "sSptHighTimeInSClks[10]") (joined
23261          (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_26__DFlopx_Gen0_FDCEx))
23262          (portref (member sSptHighTimeInSClks 5))
23263          )
23264         )
23265         (net (rename sSptHighTimeInSClks_11_ "sSptHighTimeInSClks[11]") (joined
23266          (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_27__DFlopx_Gen0_FDCEx))
23267          (portref (member sSptHighTimeInSClks 4))
23268          )
23269         )
23270         (net (rename sSptHighTimeInSClks_12_ "sSptHighTimeInSClks[12]") (joined
23271          (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_28__DFlopx_Gen0_FDCEx))
23272          (portref (member sSptHighTimeInSClks 3))
23273          )
23274         )
23275         (net (rename sSptHighTimeInSClks_13_ "sSptHighTimeInSClks[13]") (joined
23276          (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_29__DFlopx_Gen0_FDCEx))
23277          (portref (member sSptHighTimeInSClks 2))
23278          )
23279         )
23280         (net (rename sSptHighTimeInSClks_14_ "sSptHighTimeInSClks[14]") (joined
23281          (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_30__DFlopx_Gen0_FDCEx))
23282          (portref (member sSptHighTimeInSClks 1))
23283          )
23284         )
23285         (net (rename sSptHighTimeInSClks_1_ "sSptHighTimeInSClks[1]") (joined
23286          (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_17__DFlopx_Gen0_FDCEx))
23287          (portref (member sSptHighTimeInSClks 14))
23288          )
23289         )
23290         (net (rename sSptHighTimeInSClks_2_ "sSptHighTimeInSClks[2]") (joined
23291          (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_18__DFlopx_Gen0_FDCEx))
23292          (portref (member sSptHighTimeInSClks 13))
23293          )
23294         )
23295         (net (rename sSptHighTimeInSClks_3_ "sSptHighTimeInSClks[3]") (joined
23296          (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_19__DFlopx_Gen0_FDCEx))
23297          (portref (member sSptHighTimeInSClks 12))
23298          )
23299         )
23300         (net (rename sSptHighTimeInSClks_4_ "sSptHighTimeInSClks[4]") (joined
23301          (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_20__DFlopx_Gen0_FDCEx))
23302          (portref (member sSptHighTimeInSClks 11))
23303          )
23304         )
23305         (net (rename sSptHighTimeInSClks_5_ "sSptHighTimeInSClks[5]") (joined
23306          (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_21__DFlopx_Gen0_FDCEx))
23307          (portref (member sSptHighTimeInSClks 10))
23308          )
23309         )
23310         (net (rename sSptHighTimeInSClks_6_ "sSptHighTimeInSClks[6]") (joined
23311          (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_22__DFlopx_Gen0_FDCEx))
23312          (portref (member sSptHighTimeInSClks 9))
23313          )
23314         )
23315         (net (rename sSptHighTimeInSClks_7_ "sSptHighTimeInSClks[7]") (joined
23316          (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_23__DFlopx_Gen0_FDCEx))
23317          (portref (member sSptHighTimeInSClks 8))
23318          )
23319         )
23320         (net (rename sSptHighTimeInSClks_8_ "sSptHighTimeInSClks[8]") (joined
23321          (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_24__DFlopx_Gen0_FDCEx))
23322          (portref (member sSptHighTimeInSClks 7))
23323          )
23324         )
23325         (net (rename sSptHighTimeInSClks_9_ "sSptHighTimeInSClks[9]") (joined
23326          (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_25__DFlopx_Gen0_FDCEx))
23327          (portref (member sSptHighTimeInSClks 6))
23328          )
23329         )
23330         (net (rename sSptPeriodInSClks_0_ "sSptPeriodInSClks[0]") (joined
23331          (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_0__DFlopx_Gen0_FDCEx))
23332          (portref (member sSptPeriodInSClks 15))
23333          )
23334         )
23335         (net (rename sSptPeriodInSClks_10_ "sSptPeriodInSClks[10]") (joined
23336          (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_10__DFlopx_Gen0_FDCEx))
23337          (portref (member sSptPeriodInSClks 5))
23338          )
23339         )
23340         (net (rename sSptPeriodInSClks_11_ "sSptPeriodInSClks[11]") (joined
23341          (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_11__DFlopx_Gen0_FDCEx))
23342          (portref (member sSptPeriodInSClks 4))
23343          )
23344         )
23345         (net (rename sSptPeriodInSClks_12_ "sSptPeriodInSClks[12]") (joined
23346          (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_12__DFlopx_Gen0_FDCEx))
23347          (portref (member sSptPeriodInSClks 3))
23348          )
23349         )
23350         (net (rename sSptPeriodInSClks_13_ "sSptPeriodInSClks[13]") (joined
23351          (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_13__DFlopx_Gen0_FDCEx))
23352          (portref (member sSptPeriodInSClks 2))
23353          )
23354         )
23355         (net (rename sSptPeriodInSClks_14_ "sSptPeriodInSClks[14]") (joined
23356          (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_14__DFlopx_Gen0_FDCEx))
23357          (portref (member sSptPeriodInSClks 1))
23358          )
23359         )
23360         (net (rename sSptPeriodInSClks_15_ "sSptPeriodInSClks[15]") (joined
23361          (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_15__DFlopx_Gen0_FDCEx))
23362          (portref (member sSptPeriodInSClks 0))
23363          )
23364         )
23365         (net (rename sSptPeriodInSClks_1_ "sSptPeriodInSClks[1]") (joined
23366          (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_1__DFlopx_Gen0_FDCEx))
23367          (portref (member sSptPeriodInSClks 14))
23368          )
23369         )
23370         (net (rename sSptPeriodInSClks_2_ "sSptPeriodInSClks[2]") (joined
23371          (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_2__DFlopx_Gen0_FDCEx))
23372          (portref (member sSptPeriodInSClks 13))
23373          )
23374         )
23375         (net (rename sSptPeriodInSClks_3_ "sSptPeriodInSClks[3]") (joined
23376          (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_3__DFlopx_Gen0_FDCEx))
23377          (portref (member sSptPeriodInSClks 12))
23378          )
23379         )
23380         (net (rename sSptPeriodInSClks_4_ "sSptPeriodInSClks[4]") (joined
23381          (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_4__DFlopx_Gen0_FDCEx))
23382          (portref (member sSptPeriodInSClks 11))
23383          )
23384         )
23385         (net (rename sSptPeriodInSClks_5_ "sSptPeriodInSClks[5]") (joined
23386          (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_5__DFlopx_Gen0_FDCEx))
23387          (portref (member sSptPeriodInSClks 10))
23388          )
23389         )
23390         (net (rename sSptPeriodInSClks_6_ "sSptPeriodInSClks[6]") (joined
23391          (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_6__DFlopx_Gen0_FDCEx))
23392          (portref (member sSptPeriodInSClks 9))
23393          )
23394         )
23395         (net (rename sSptPeriodInSClks_7_ "sSptPeriodInSClks[7]") (joined
23396          (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_7__DFlopx_Gen0_FDCEx))
23397          (portref (member sSptPeriodInSClks 8))
23398          )
23399         )
23400         (net (rename sSptPeriodInSClks_8_ "sSptPeriodInSClks[8]") (joined
23401          (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_8__DFlopx_Gen0_FDCEx))
23402          (portref (member sSptPeriodInSClks 7))
23403          )
23404         )
23405         (net (rename sSptPeriodInSClks_9_ "sSptPeriodInSClks[9]") (joined
23406          (portref Q (instanceref SptCntHs_BlkOut_ODataFlop_GenFlops_9__DFlopx_Gen0_FDCEx))
23407          (portref (member sSptPeriodInSClks 6))
23408          )
23409         )
23410       )
23411     )
23412   )
23413  )
23414(comment "Reference To The Cell Of Highest Level")
23415
23416  (design SyncRegsIfc
23417    (cellref SyncRegsIfc (libraryref work))
23418    (property part (string "xc7z100ffg900-2"))
23419  )
23420)
23421