1entity foo is
2end foo;
3
4use std.textio.all;
5
6architecture only of foo is
7begin  -- only
8  process
9    variable x : boolean := false;
10  begin  -- process
11    x := true;
12    assert x = true report "TEST FAILED - x does not equal true" severity failure;
13    assert x /= true report "TEST PASSED" severity note;
14    wait;
15  end process;
16end only;
17