1entity test_bench is
2end test_bench;
3
4architecture only of test_bench is
5  signal sig : integer := 0;
6begin  -- only
7  p: process
8  begin  -- process p
9    sig <= 1;
10    wait for 1 fs;
11    assert sig = 1 report "TEST FAILED" severity FAILURE;
12    report "TEST PASSED" severity NOTE;
13    wait;
14  end process p;
15
16end only;
17