Home
last modified time | relevance | path

Searched defs:doa (Results 1 – 25 of 42) sorted by relevance

12

/dports/dns/bind-tools/bind-9.16.27/lib/dns/rdata/generic/
H A Ddoa_259.c193 dns_rdata_doa_t *doa = source; in fromstruct_doa() local
210 dns_rdata_doa_t *doa = target; in tostruct_doa() local
292 dns_rdata_doa_t *doa = source; in freestruct_doa() local
/dports/dns/bind911/bind-9.11.37/lib/dns/rdata/generic/
H A Ddoa_259.c191 dns_rdata_doa_t *doa; in fromstruct_doa() local
211 dns_rdata_doa_t *doa; in tostruct_doa() local
295 dns_rdata_doa_t *doa; in freestruct_doa() local
/dports/dns/samba-nsupdate/bind-9.16.5/lib/dns/rdata/generic/
H A Ddoa_259.c191 dns_rdata_doa_t *doa = source; in fromstruct_doa() local
208 dns_rdata_doa_t *doa = target; in tostruct_doa() local
290 dns_rdata_doa_t *doa = source; in freestruct_doa() local
/dports/dns/bind916/bind-9.16.27/lib/dns/rdata/generic/
H A Ddoa_259.c193 dns_rdata_doa_t *doa = source; in fromstruct_doa() local
210 dns_rdata_doa_t *doa = target; in tostruct_doa() local
292 dns_rdata_doa_t *doa = source; in freestruct_doa() local
/dports/dns/bind9-devel/bind9-e112afa15668bd3b1baed59df2d21e01b46da15e/lib/dns/rdata/generic/
H A Ddoa_259.c191 dns_rdata_doa_t *doa = source; in fromstruct_doa() local
208 dns_rdata_doa_t *doa = target; in tostruct_doa() local
290 dns_rdata_doa_t *doa = source; in freestruct_doa() local
/dports/x11-toolkits/py-wxPython40/wxPython-4.0.7/unittests/
H A Dtest_lib_pubsub_notify.py38 def doa(): function
/dports/science/nwchem/nwchem-7b21660b82ebd85ef659f6fba7e1e73433b0bd0a/src/rdmft/recycling/wfn1/
H A Dwfn1_nxt_Po_eg.F17 + doa,dob,dpoa,dpob,EPo,ta,tb) argument
31 double precision doa(nbf,nbf) !< [In/Output] The alpha occupation local
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp2/control_lib/
H A Dram_2port.v28 output reg [DWIDTH-1:0] doa, port
/dports/cad/nvc/nvc-r1.5.3/test/misc/
H A Dramb_test.vhd11 signal doa : std_logic_vector(31 downto 0); signal
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/control/
H A Dram_2port_impl.vh22 output wire [DWIDTH-1:0] doa, port
H A Dram_2port.v67 output wire [DWIDTH-1:0] doa, port
/dports/cad/ghdl/ghdl-1.0.0/testsuite/synth/issue1244/
H A Dram_protected_sharedvar.vhd84 doa : out std_logic_vector(DATA_WIDTH-1 downto 0); port
/dports/benchmarks/phoronix-test-suite/phoronix-test-suite-10.6.1/pts-core/objects/
H A Dpts_test_profile_results_definition.php61 …ras, $sfr, $srp, $mm, $drb, $mrb, $rs, $rpro, $rpre, $ad, $atad, $ff, $tcts, $dob, $doa, $df, $drd)
178 …ras, $sfr, $srp, $mm, $drb, $mrb, $rs, $rpro, $rpre, $ad, $atad, $ff, $tcts, $dob, $doa, $df, $drd)
/dports/www/firefox-legacy/firefox-52.8.0esr/netwerk/base/
H A DLoadContextInfo.cpp145 DocShellOriginAttributes doa; in GetLoadContextInfo() local
/dports/comms/uhd/uhd-90ce6062b6b5df2eddeee723777be85108e4e7c7/fpga/usrp3/lib/rfnoc/fosphor/
H A Df15_histo_mem.v156 output reg [8:0] doa, port
/dports/net/frr7-pythontools/frr-frr-7.5.1/isisd/
H A Disis_dlpi.c174 dl_ok_ack_t *doa = (dl_ok_ack_t *)dlpi_ctl; in dlpiok() local
/dports/net/frr7/frr-frr-7.5.1/isisd/
H A Disis_dlpi.c174 dl_ok_ack_t *doa = (dl_ok_ack_t *)dlpi_ctl; in dlpiok() local
/dports/net/quagga/quagga-1.2.4/isisd/
H A Disis_dlpi.c184 dl_ok_ack_t *doa = (dl_ok_ack_t *)dlpi_ctl; in dlpiok() local
/dports/math/p5-Math-Cephes/Math-Cephes-0.5305/libmd/
H A Djv.c648 int sign, doa, dob, nflg, k, s, tk, tkp1, m; local
/dports/science/py-scipy/scipy-1.7.1/scipy/special/cephes/
H A Djv.c615 int sign, doa, dob, nflg, k, s, tk, tkp1, m; in jnx() local
/dports/math/gretl/gretl-2021d/cephes/
H A Djv.c503 int sign, doa, dob, nflg, k, s, tk, tkp1, m; in jnx() local
/dports/math/grace/grace-5.1.25/cephes/
H A Djv.c629 int sign, doa, dob, nflg, k, s, tk, tkp1, m; local
/dports/cad/yosys/yosys-yosys-0.12/techlibs/anlogic/
H A Deagle_bb.v274 output [8:0] doa, port
361 output [15:0] doa, port
547 output [8:0] doa, port
968 output [DATA_WIDTH_A-1:0] doa, port
/dports/emulators/simh-hpdoc/simh-hpdoc-3.11.0/SCP/HP3000/
H A Dhp_disclib.h436 #define CNTLR_INIT(ctype,dev,bufptr,doa,fast) \ argument
/dports/emulators/simh-hp3000/simh-hp3000-3.11.0.10/SCP/HP3000/
H A Dhp_disclib.h437 #define CNTLR_INIT(ctype,dev,bufptr,doa,fast) \ argument

12