Home
last modified time | relevance | path

Searched refs:wdat (Results 1 – 25 of 155) sorted by relevance

1234567

/dports/audio/mpg123/mpg123-1.29.3/src/libout123/
H A Dwav.c84 if(wdat) in wavdata_new()
100 if(wdat->wavfp && wdat->wavfp != stdout) in wavdata_del()
168 if(!wdat) in open_file()
200 if(wdat->wavfp != NULL && wdat->wavfp != stdout) in close_file()
222 if(!wdat) in write_header()
228 fwrite(wdat->the_header, wdat->the_header_size, 1, wdat->wavfp) != 1 in write_header()
311 if(wdat) in au_open()
360 if(wdat) in cdr_open()
390 if(wdat) in raw_open()
550 if(!wdat || !wdat->wavfp) in wav_write()
[all …]
/dports/audio/sdl2_mixer/SDL2_mixer-2.0.4/external/mpg123-1.25.6/src/libout123/
H A Dwav.c84 if(wdat) in wavdata_new()
100 if(wdat->wavfp && wdat->wavfp != stdout) in wavdata_del()
168 if(!wdat) in open_file()
206 if(wdat->wavfp != NULL && wdat->wavfp != stdout) in close_file()
228 if(!wdat) in write_header()
234 fwrite(wdat->the_header, wdat->the_header_size, 1, wdat->wavfp) != 1 in write_header()
317 if(wdat) in au_open()
366 if(wdat) in cdr_open()
396 if(wdat) in raw_open()
556 if(!wdat || !wdat->wavfp) in wav_write()
[all …]
/dports/multimedia/libv4l/linux-5.13-rc2/drivers/watchdog/
H A Dwdat_wdt.c216 dev_err(&wdat->pdev->dev, in wdat_wdt_enable_reboot()
318 struct wdat_wdt *wdat; in wdat_wdt_probe() local
329 wdat = devm_kzalloc(dev, sizeof(*wdat), GFP_KERNEL); in wdat_wdt_probe()
330 if (!wdat) in wdat_wdt_probe()
345 wdat->wdd.min_hw_heartbeat_ms = wdat->period * tbl->min_count; in wdat_wdt_probe()
346 wdat->wdd.max_hw_heartbeat_ms = wdat->period * tbl->max_count; in wdat_wdt_probe()
350 wdat->pdev = pdev; in wdat_wdt_probe()
483 wdat->stopped = false; in wdat_wdt_suspend_noirq()
488 wdat->stopped = true; in wdat_wdt_suspend_noirq()
504 if (!wdat->stopped) { in wdat_wdt_resume_noirq()
[all …]
/dports/multimedia/v4l_compat/linux-5.13-rc2/drivers/watchdog/
H A Dwdat_wdt.c216 dev_err(&wdat->pdev->dev, in wdat_wdt_enable_reboot()
318 struct wdat_wdt *wdat; in wdat_wdt_probe() local
329 wdat = devm_kzalloc(dev, sizeof(*wdat), GFP_KERNEL); in wdat_wdt_probe()
330 if (!wdat) in wdat_wdt_probe()
345 wdat->wdd.min_hw_heartbeat_ms = wdat->period * tbl->min_count; in wdat_wdt_probe()
346 wdat->wdd.max_hw_heartbeat_ms = wdat->period * tbl->max_count; in wdat_wdt_probe()
350 wdat->pdev = pdev; in wdat_wdt_probe()
483 wdat->stopped = false; in wdat_wdt_suspend_noirq()
488 wdat->stopped = true; in wdat_wdt_suspend_noirq()
504 if (!wdat->stopped) { in wdat_wdt_resume_noirq()
[all …]
/dports/multimedia/v4l-utils/linux-5.13-rc2/drivers/watchdog/
H A Dwdat_wdt.c216 dev_err(&wdat->pdev->dev, in wdat_wdt_enable_reboot()
318 struct wdat_wdt *wdat; in wdat_wdt_probe() local
329 wdat = devm_kzalloc(dev, sizeof(*wdat), GFP_KERNEL); in wdat_wdt_probe()
330 if (!wdat) in wdat_wdt_probe()
345 wdat->wdd.min_hw_heartbeat_ms = wdat->period * tbl->min_count; in wdat_wdt_probe()
346 wdat->wdd.max_hw_heartbeat_ms = wdat->period * tbl->max_count; in wdat_wdt_probe()
350 wdat->pdev = pdev; in wdat_wdt_probe()
483 wdat->stopped = false; in wdat_wdt_suspend_noirq()
488 wdat->stopped = true; in wdat_wdt_suspend_noirq()
504 if (!wdat->stopped) { in wdat_wdt_resume_noirq()
[all …]
/dports/audio/blop/blop-0.2.8/src/
H A Dpulse_1645.so.c50 Wavedata wdat; member
137 Wavedata * wdat = &plugin->wdat; in runPulse_fapa_oa() local
161 phase += wdat->frequency; in runPulse_fapa_oa()
163 phase += wdat->sample_rate; in runPulse_fapa_oa()
165 phase -= wdat->sample_rate; in runPulse_fapa_oa()
186 Wavedata * wdat = &plugin->wdat; in runPulse_fapc_oa() local
208 phase += wdat->frequency; in runPulse_fapc_oa()
233 Wavedata * wdat = &plugin->wdat; in runPulse_fcpa_oa() local
254 phase += wdat->frequency; in runPulse_fcpa_oa()
279 Wavedata * wdat = &plugin->wdat; in runPulse_fcpc_oa() local
[all …]
H A Dtriangle_1649.so.c52 Wavedata wdat; member
142 Wavedata * wdat = &plugin->wdat; in runTriangle_fasa_oa() local
168 phase += wdat->frequency; in runTriangle_fasa_oa()
170 phase += wdat->sample_rate; in runTriangle_fasa_oa()
172 phase -= wdat->sample_rate; in runTriangle_fasa_oa()
193 Wavedata * wdat = &plugin->wdat; in runTriangle_fasc_oa() local
220 phase += wdat->frequency; in runTriangle_fasc_oa()
245 Wavedata * wdat = &plugin->wdat; in runTriangle_fcsa_oa() local
268 phase += wdat->frequency; in runTriangle_fcsa_oa()
293 Wavedata * wdat = &plugin->wdat; in runTriangle_fcsc_oa() local
[all …]
H A Dsquare_1643.so.c47 Wavedata wdat; member
103 wavedata_unload (&plugin->wdat); in cleanupSquare()
128 Wavedata * wdat = &plugin->wdat; in runSquare_fa_oa() local
139 wavedata_get_table (wdat, freq); in runSquare_fa_oa()
145 phase += wdat->frequency; in runSquare_fa_oa()
147 phase += wdat->sample_rate; in runSquare_fa_oa()
149 phase -= wdat->sample_rate; in runSquare_fa_oa()
167 Wavedata * wdat = &plugin->wdat; in runSquare_fc_oa() local
179 phase += wdat->frequency; in runSquare_fc_oa()
181 phase += wdat->sample_rate; in runSquare_fc_oa()
[all …]
H A Dsawtooth_1641.so.c47 Wavedata wdat; member
103 wavedata_unload (&plugin->wdat); in cleanupSawtooth()
128 Wavedata * wdat = &plugin->wdat; in runSawtooth_fa_oa() local
139 wavedata_get_table (wdat, freq); in runSawtooth_fa_oa()
144 phase += wdat->frequency; in runSawtooth_fa_oa()
146 phase += wdat->sample_rate; in runSawtooth_fa_oa()
148 phase -= wdat->sample_rate; in runSawtooth_fa_oa()
165 Wavedata * wdat = &plugin->wdat; in runSawtooth_fc_oa() local
177 phase += wdat->frequency; in runSawtooth_fc_oa()
179 phase += wdat->sample_rate; in runSawtooth_fc_oa()
[all …]
/dports/multimedia/v4l-utils/linux-5.13-rc2/drivers/acpi/
H A Dacpi_watchdog.c34 for (i = 0; i < wdat->entries; i++) { in acpi_watchdog_uses_rtc()
69 (struct acpi_table_header **)&wdat); in acpi_watchdog_get_wdat()
75 if (acpi_watchdog_uses_rtc(wdat)) { in acpi_watchdog_get_wdat()
81 return wdat; in acpi_watchdog_get_wdat()
105 const struct acpi_table_wdat *wdat; in acpi_watchdog_init() local
113 wdat = acpi_watchdog_get_wdat(); in acpi_watchdog_init()
114 if (!wdat) { in acpi_watchdog_init()
120 if (!(wdat->flags & ACPI_WDAT_ENABLED)) in acpi_watchdog_init()
124 if (wdat->pci_segment != 0xff || wdat->pci_bus != 0xff || in acpi_watchdog_init()
125 wdat->pci_device != 0xff || wdat->pci_function != 0xff) in acpi_watchdog_init()
[all …]
/dports/multimedia/v4l_compat/linux-5.13-rc2/drivers/acpi/
H A Dacpi_watchdog.c34 for (i = 0; i < wdat->entries; i++) { in acpi_watchdog_uses_rtc()
69 (struct acpi_table_header **)&wdat); in acpi_watchdog_get_wdat()
75 if (acpi_watchdog_uses_rtc(wdat)) { in acpi_watchdog_get_wdat()
81 return wdat; in acpi_watchdog_get_wdat()
105 const struct acpi_table_wdat *wdat; in acpi_watchdog_init() local
113 wdat = acpi_watchdog_get_wdat(); in acpi_watchdog_init()
114 if (!wdat) { in acpi_watchdog_init()
120 if (!(wdat->flags & ACPI_WDAT_ENABLED)) in acpi_watchdog_init()
124 if (wdat->pci_segment != 0xff || wdat->pci_bus != 0xff || in acpi_watchdog_init()
125 wdat->pci_device != 0xff || wdat->pci_function != 0xff) in acpi_watchdog_init()
[all …]
/dports/multimedia/libv4l/linux-5.13-rc2/drivers/acpi/
H A Dacpi_watchdog.c34 for (i = 0; i < wdat->entries; i++) { in acpi_watchdog_uses_rtc()
69 (struct acpi_table_header **)&wdat); in acpi_watchdog_get_wdat()
75 if (acpi_watchdog_uses_rtc(wdat)) { in acpi_watchdog_get_wdat()
81 return wdat; in acpi_watchdog_get_wdat()
105 const struct acpi_table_wdat *wdat; in acpi_watchdog_init() local
113 wdat = acpi_watchdog_get_wdat(); in acpi_watchdog_init()
114 if (!wdat) { in acpi_watchdog_init()
120 if (!(wdat->flags & ACPI_WDAT_ENABLED)) in acpi_watchdog_init()
124 if (wdat->pci_segment != 0xff || wdat->pci_bus != 0xff || in acpi_watchdog_init()
125 wdat->pci_device != 0xff || wdat->pci_function != 0xff) in acpi_watchdog_init()
[all …]
/dports/cad/ghdl/ghdl-1.0.0/testsuite/synth/mem2d01/
H A Dtb_memmux04.vhdl21 wdat => wdat,
41 wdat <= x"0123_5670";
46 wdat <= x"1234_6781";
57 wdat (3 downto 0) <= v;
58 wdat (7 downto 4) <= x"0";
59 wdat (11 downto 8) <= v;
60 wdat (15 downto 12) <= x"1";
61 wdat (19 downto 16) <= v;
62 wdat (23 downto 20) <= x"2";
63 wdat (27 downto 24) <= v;
[all …]
H A Dtb_dpram2w.vhdl10 signal wdat : std_logic_vector (3 downto 0); signal
16 port map (waddr => waddr, wnib => wnib, wdat => wdat,
31 wdat <= x"0";
37 wdat <= x"f";
43 wdat <= x"e";
50 wdat <= x"1";
57 wdat <= x"3";
64 wdat <= x"c";
71 wdat <= x"d";
78 wdat <= x"2";
[all …]
H A Dtb_dpram1r.vhdl12 signal wdat : std_logic_vector(7 downto 0); signal
17 waddr => waddr, wdat => wdat,
32 wdat <= x"e1";
38 wdat <= x"f0";
45 wdat <= x"d2";
52 wdat <= x"c3";
59 wdat <= x"f0";
66 wdat <= x"f0";
H A Dtb_dpram2r.vhdl12 signal wdat : std_logic_vector(7 downto 0); signal
17 waddr => waddr, wdat => wdat,
32 wdat <= x"e1";
38 wdat <= x"f0";
45 wdat <= x"d2";
52 wdat <= x"c3";
59 wdat <= x"f0";
66 wdat <= x"f0";
/dports/cad/ghdl/ghdl-1.0.0/testsuite/synth/mem01/
H A Dtb_sram05.vhdl11 signal wdat : std_logic_vector(7 downto 0); signal
17 addr_i => addr, data_i => wdat, data_o => rdat,
33 wdat <= x"03";
39 wdat <= x"41";
45 wdat <= x"07";
59 wdat <= x"23";
67 wdat <= x"ff";
76 wdat <= x"c5";
H A Dtb_dpram3.vhdl11 signal wdat : std_logic_vector(7 downto 0); signal
15 port map (raddr => raddr, rdat => rdat, waddr => waddr, wdat => wdat,
29 wdat <= x"5a";
34 wdat <= x"87";
40 wdat <= x"e1";
46 wdat <= x"c3";
H A Dtb_dpram2.vhdl11 signal wdat : std_logic_vector(7 downto 0); signal
15 port map (raddr => raddr, rdat => rdat, waddr => waddr, wdat => wdat,
29 wdat <= x"5a";
34 wdat <= x"87";
/dports/cad/ghdl/ghdl-1.0.0/testsuite/synth/synth109/
H A Dtb_ram1.vhdl13 signal wdat : std_logic_vector(31 downto 0); signal
17 diB => wdat, doB => rdat);
31 wdat <= x"11_22_33_f0";
36 wdat <= x"11_22_33_f1";
43 wdat <= x"ff_22_33_f1";
48 wdat <= x"ff_22_33_f1";
56 wdat <= x"11_22_33_f0";
H A Dtb_ram4.vhdl13 signal wdat : std_logic_vector(31 downto 0); signal
17 diB => wdat, doB => rdat);
31 wdat <= x"11_22_33_f0";
36 wdat <= x"11_22_33_f1";
43 wdat <= x"ff_22_33_f1";
48 wdat <= x"ff_22_33_f1";
56 wdat <= x"11_22_33_f0";
/dports/cad/ghdl/ghdl-1.0.0/testsuite/synth/mem02/
H A Dtb_ram3.vhdl10 signal wdat : std_logic; signal
14 port map (waddr => waddr, wdat => wdat, val => val,
27 wdat <= '0';
31 wdat <= '1';
H A Dtb_ram6.vhdl10 signal wdat : std_logic; signal
14 port map (waddr => waddr, wdat => wdat, val => val,
27 wdat <= '0';
31 wdat <= '1';
H A Dtb_dpram1.vhdl11 signal wdat : std_logic_vector(7 downto 0); signal
15 port map (raddr => raddr, rdat => rdat, waddr => waddr, wdat => wdat,
29 wdat <= x"01";
34 wdat <= x"02";
/dports/cad/ghdl/ghdl-1.0.0/testsuite/synth/memmux01/
H A Dtb_memmux01.vhdl11 signal wdat : std_logic; signal
20 wdat => wdat,
37 wdat <= '1';
52 wdat <= '0';
60 wdat <= '1';

1234567