Home
last modified time | relevance | path

Searched refs:s_test (Results 1 – 25 of 138) sorted by path

123456

/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/issue283/
H A Dbar.vhd13 signal s_test : std_logic_vector(3 downto 0) := "1111"; signal
H A Dexample.py10 dut._log.info("%d" % dut.s_test)
11 dut._log.info("%d" % dut.cmp_bar.s_test)
H A Duut.vhd14 signal s_test : std_logic_vector(3 downto 0) := "1111"; signal
/dports/cad/ghdl/ghdl-1.0.0/testsuite/gna/issue772/
H A Dent.vhdl13 signal s_test : std_logic := '0'; signal
18 s_test <= '1' after 30 ns,
25 if s_test'stable(10 ns) then
26 report "s_test stable";
28 report "s_test changed";
33 -- psl assert always (s_test'stable)@rising_edge(s_clk);
36 -- psl assert always (s_test'stable(10 ns))@rising_edge(s_clk);
/dports/cad/ngspice_rework/ngspice-35/tests/regression/sens/
H A Dsens-ac-1.cir37 let s_test = $n_test
39 echo "ERROR, test failure, s[$n] = $&s_test but should be $&s_gold"
H A Dsens-ac-2.cir43 let s_test = $n_test
45 echo "ERROR, test failure, s[$n] = $&s_test but should be $&s_gold"
H A Dsens-dc-1.cir50 let s_test = $n_test
52 echo "ERROR, test failure, s[$n] = $&s_test but should be $&s_gold"
H A Dsens-dc-2.cir45 let s_test = $n_test
47 echo "ERROR, test failure, s[$n] = $&s_test but should be $&s_gold"
/dports/comms/liquid-dsp/liquid-dsp-1.3.2/sandbox/
H A Dfec_secded2216_test.c182 unsigned int s_test = 0; in main() local
185 s_test <<= 1; in main()
191 s_test |= p & 0x01; in main()
202 print_bitstring_short(s_test,6); in main()
203 if (s == s_test) printf(" *"); in main()
207 printf("0x%.2x\n", s_test); in main()
210 if (s == s_test) { in main()
H A Dfec_secded3932_test.c195 unsigned int s_test = 0; in main() local
202 s_test <<= 1; in main()
210 s_test |= p & 0x01; in main()
223 print_bitstring_short(s_test,7); in main()
224 if (s == s_test) printf(" *"); in main()
228 printf("0x%.2x\n", s_test); in main()
231 if (s == s_test) { in main()
/dports/databases/db18/db-18.1.40/dist/
H A Ds_all31 sh s_test # Test suite support.
/dports/databases/db18/db-18.1.40/test/tcl/
H A DREADME58 and structure. The TESTS file gets rebuilt by the dist/s_test
H A DTESTS1 # Automatically built by dist/s_test; may require local editing.
/dports/databases/db5/db-5.3.28/dist/
H A Ds_all23 sh s_test # Test suite support.
/dports/databases/db5/db-5.3.28/test/tcl/
H A DTESTS1 # Automatically built by dist/s_test; may require local editing.
/dports/databases/pgrouting/pgrouting-3.2.0/pgtap/alpha_shape/
H A Ds_shape-test.sql10 CREATE TABLE s_test(geom geometry); table
12 INSERT INTO s_test(geom) VALUES(ST_GeomFromText('MULTIPOINT(
78 …$SELECT st_area(pgr_alphaShape)::TEXT FROM pgr_alphaShape((SELECT ST_Collect(geom) FROM s_test))$$,
79 …LECT st_area(pgr_alphaShape)::TEXT FROM pgr_alphaShape((SELECT ST_Collect(geom) FROM s_test), 0)$$)
/dports/devel/avr-gcc/gcc-10.2.0/libstdc++-v3/testsuite/21_strings/basic_string/operations/ends_with/char/
H A D1.cc34 const std::string s_test("slugs/slimy.jpg"); in test01() local
36 const auto cstr_in_slugs = s_test.ends_with(cstr_suf); in test01()
38 const auto sv_in_slugs = s_test.ends_with(sv_suf); in test01()
40 const auto char_g = s_test.ends_with('g'); in test01()
43 const auto cstr_in_worms = s_test.ends_with(cstr_suf2); in test01()
45 const auto sv_in_worms = s_test.ends_with(sv_suf2); in test01()
47 const auto char_b = s_test.ends_with('b'); in test01()
/dports/devel/avr-gcc/gcc-10.2.0/libstdc++-v3/testsuite/21_strings/basic_string/operations/ends_with/wchar_t/
H A D1.cc34 const std::wstring s_test(L"slugs/slimy.jpg"); in test01() local
36 const auto cstr_in_slugs = s_test.ends_with(cstr_suf); in test01()
38 const auto sv_in_slugs = s_test.ends_with(sv_suf); in test01()
40 const auto char_g = s_test.ends_with(L'g'); in test01()
43 const auto cstr_in_worms = s_test.ends_with(cstr_suf2); in test01()
45 const auto sv_in_worms = s_test.ends_with(sv_suf2); in test01()
47 const auto char_b = s_test.ends_with(L'b'); in test01()
/dports/devel/avr-gcc/gcc-10.2.0/libstdc++-v3/testsuite/21_strings/basic_string/operations/starts_with/char/
H A D1.cc34 const std::string s_test("slugs/slimy.jpg"); in test01() local
36 const auto cstr_in_slugs = s_test.starts_with(cstr_dir); in test01()
38 const auto sv_in_slugs = s_test.starts_with(sv_dir); in test01()
40 const auto char_s = s_test.starts_with('s'); in test01()
43 const auto cstr_in_worms = s_test.starts_with(cstr_dir2); in test01()
45 const auto sv_in_worms = s_test.starts_with(sv_dir2); in test01()
47 const auto char_w = s_test.starts_with('w'); in test01()
/dports/devel/avr-gcc/gcc-10.2.0/libstdc++-v3/testsuite/21_strings/basic_string/operations/starts_with/wchar_t/
H A D1.cc34 const std::wstring s_test(L"slugs/slimy.jpg"); in test01() local
36 const auto cstr_in_slugs = s_test.starts_with(cstr_dir); in test01()
38 const auto sv_in_slugs = s_test.starts_with(sv_dir); in test01()
40 const auto char_s = s_test.starts_with(L's'); in test01()
43 const auto cstr_in_worms = s_test.starts_with(cstr_dir2); in test01()
45 const auto sv_in_worms = s_test.starts_with(sv_dir2); in test01()
47 const auto char_w = s_test.starts_with(L'w'); in test01()
/dports/devel/llvm-devel/llvm-project-f05c95f10fc1d8171071735af8ad3a9e87633120/utils/bazel/llvm-project-overlay/mlir/
H A Dtblgen.bzl362 name = "%s_test" % (gentbl_name,),
/dports/devel/llvm13/llvm-project-13.0.1.src/utils/bazel/llvm-project-overlay/mlir/
H A Dtblgen.bzl369 name = "%s_test" % (gentbl_name,),
/dports/devel/py-gyp/gyp-20200512/test/sanitize-rule-names/
H A Dsanitize-rule-names.gyp8 'target_name': 's_test',
/dports/devel/py-nbdime/nbdime-3.1.1/nbdime/webapp/static/
H A D1.nbdime.js.map1 … 'rotate', 'round',\n 'routine_filepath', 'routine_info', 'rs_test', 's_test', 'save',\n 'sa…
/dports/devel/tcllib/tcllib-1.20/modules/math/
H A Dstatistics.test1330 # Data from https://en.wikipedia.org/wiki/Dunnett's_test

123456